ABSTRACT Title of thesis: INTEGRATION OF BENZOCYCLOBUTENE POYMERS AND SILICON MICROMACHNED STRUCTURES FABRICATED WITH ANISOTROPIC WET ETCHING Nima Ghalichechian, Master of Science, 2005 Thesis directed by: Professor Reza Ghodssi Department of Electrical and Computer Engineering Integration of low dielectric constant Benzocyclobutene (BCB) film with deep etched structures in silicon allows the fabrication of MEMS devices with low parasitic loss. A fabrication process is developed for integration of thin BCB film and deep anisotropically-etched grooves in silicon using potassium hydroxide (KOH). Gold (Au) is used as an etch mask to protect the low-k film during the highly- corrosive, long, and high-temperature KOH etching process. Metal/BCB adhesion is a key parameter in this masking design. Adhesion of the BCB and metal mask was improved by cure management of the BCB before and after metallization, surface treatment of the BCB before metallization, and high-temperature metallization. Test structures were fabricated to demonstrate the feasibility of this fabrication process. Adhesion improvement was successfully verified by studying BCB/metal interface using time-of-flight secondary ion mass spectroscopy and Auger electron spectroscopy. This study enables the development of the next generation micromotors/microgenerators. INTEGRATION OF BENZOCYCLOBUTENE POLYMERS AND SILICON MICROMACHINED STRUCTURES FABRICATED WITH ANISOTROPIC WET ETCHING by Nima Ghalichechian Thesis submitted to the Faculty of the Graduate School of the University of Maryland, College Park in partial fulfillment of the requirements for the degree of Master of Science 2005 Advisory committee: Professor Reza Ghodssi, Chair Professor John Melngailis Professor Martin Peckerar ?Copyright by Nima Ghalichechian 2005 DEDICATION To my father and mother, Yousef and Mansoureh, for their encouragement and support throughout my life. ii AKNOWLEDGEMENTS I would like to thank my advisor Professor Reza Ghodssi and the committee members of my thesis Professor John Melngailis and Professor Martin Peckerar, without whom none of this work could have been possible. Special thanks to Mr. Alireza Modafe from MEMS Sensors and Actuators Lab (MSAL), University of Maryland (UMD), for his guidance and leadership in the project, as well as sharing the cleanroom experiences. He has been continuously involved in this work and I have benefited from his counsel. Many thanks to all members of the MSAL for their assistance and constructive feedback especially Mr. Wen-Hsien Chuang for helping with the SEM. Thanks to Mr. Nolan Ballew and Mr. Tom Loughran from UMD cleanroom facilities who have always been helpful with fabrication of the devices. Many thanks to Mr. Paolo Lazzeri, and Dr. Mariano Anderle from Centro per la Ricerca Scientifica e Tecnologica (ITC-irst), Trento, Italy, for interface and surface analysis they performed on our samples. Mr. Paolo Lazzeri performed all the SIMS measurements which are discussed in chapter four of this thesis. His patience and work among with other colleagues at ITC-irst is highly appreciated. Finally I would like to thank the sponsors of this project: The National Science Foundation (NSF), Minta Martin Foundation, and Small Smart Systems Center (SSSC) at University of Maryland, College Park. iii vi vii 1 1 3 5 8 9 12 12 17 21 33 37 38 38 39 39 41 46 48 50 53 63 65 65 66 71 72 75 86 87 87 TABLE OF CONTENTS List of Tables????????????????????????????.. List of Figures???????????????????????????...... Chapter 1: Background and Motivation????????????????. 1.1 Introduction to Power MEMS????????????????.. 1.2 Motivation???????????????????????? 1.3 BCB as a Low-k Dielectric and MEMS Material????????.... 1.4 Integration of BCB Polymer and MEMS Bulk Micromachining??? 1.5 Summary????????????????????????.. Chapter 2: Development of Micromotor Fabrication Process???????.. 2.1 Overview of Micromotor/Microgenerator Fabrication Process???.. 2.2 CYCLOTENE Deposition and Cure?????????????? 2.3 Reactive Ion Etching of CYCLOTENE????????????... 2.4 Anisotropic Etching of Silicon Using KOH??.................................... 2.5 Summary????????????????????????.. Chapter 3 Adhesion Improvement??????????????????. 3.1 Adhesion of CYCLOTENE and Metal Mask??????????.. 3.2 Surface Treatment of CYCLOTENE?????????????... 3.2.1 Literature Review???????????????....??. 3.2.2 Experiment I: Surface Treatment by Plasma???????... 3.2.3 Experiment II: Adhesion Layer????????????... 3.2.4 Experiment III: Gold Thickness and Annealing??????.. 3.2.5 Experiment IV: Cure Management of CYCLOTENE????. 3.2.6 Experiment V: Optimization of Metal Deposition..?........??. 3.3 Summary ???????...??????????...?????... Chapter 4 Interface Study?????????????????????... 4.1 Objectives???????????????????????? 4.2 Overview: SIMS and Auger?????????????????. 4.3 Sample Preparation????...???????????...........?? 4.4 Chromium/Gold Interface???????...??????????. 4.5 Chromium/CYCLOTENE Interface?????????????? 4.6 Summary ?????????.??????????????? Chapter 5 Conclusion???????????????????????.. 5.1 Summary????????????????????????.. iv 89 91 94 5.2 Future Work???????????????????????. Appendix: Anisotropic Etching of Silicon by Potassium Hydroxide????.?.. References?????????????????????????..???.. v 5 6 13 18 24 43 47 49 51 55 72 LIST OF TABLES 1.3.1 Summary of the physical properties of CYCLOTENE??...???? 1.3.2 Comparison of physical properties and deposition parameters of BCB and SiO 2 ????????????????????????... 2.1.1 Micromotor fabrication process steps ??.????????..??.. 2.2.1 Thickness of the CYCLOTENE film as a function of spin speed [www.cyclotene.com]............................................................................... 2.3.1 Etch recipes for reactive ion etching of Si, SiN, CYCLOTENE, and chamber cleaning ??????.?????????.???... 3.2.2.1 Summary of surface treatment experiments and test results. Cr/Au films were deposited using e-beam evaporation in all experiments.?... 3.2.3.1 Summary of adhesion layer experiments and test results. All metal depositions were performed using e-beam evaporation??????... 3.2.4.1 Summary of Au thickness and annealing experiments and test results. Cr/Au films were deposited using e-beam evaporation in both experiments???????????????????????.. 3.2.5.1 Summary of cure management experiments and test results. Cr/Au films with 200/5000 ? thickness were deposited using e-beam evaporation in all experiments????????????????. 3.2.6.1 Summary of metal deposition experiments and their test results. Cr/Au films with 200/5000 ? thickness were deposited using DC sputtering in all experiments??????????????????.??? 4.3.1 Interface study samples??????????????????? vi 4 9 14-16 19 20 21 26 27 28 29 29 30 30 LIST OF FIGURES 1.2.1 Schematic of the bottom-drive linear variable-capacitance micromotor. The device is composed of three major sub-components: (1) stationary part (stator), (2) moving part (slider), and (3) microballs for mechanical support????????????????????.. 1.4.1 Three dimensional schematic of the stator of a micromotor shows the integration of two components: (1) bearing structure etched in silicon and (2) BCB dielectric films.????????????...???? 2.1.1 Fabrication process flow of the stator of linear variable-capacitance micromotor???????????????????????... 2.2.1 Thickness of the CYCLOTENE film as a function of spin speed for 4 different solutions with different viscosities [www.cyclotene.com]??. 2.2.2 Cure profile of CYCLOTENE for 75% (soft cure) and 95-100% (hard cure) polymerization?????????????????............ 2.2.3 Uniformity of the CYCLOTENE film thickness after spin and hard cure. Measurement was done at 13 points across a 100-mm-diameter wafer?????????????????????????? 2.3.1 Schematic top view of test structures. The dimensions are not to scale. 2.3.2 Etch depth of thick CYCLOTENE using RIE, measured by a contact profilometer. The average etch rate was 1.2 ?m/min???????.. 2.3.3 SEM of a wide trench etched in CYCLOTENE using an optical mask similar to one shown in Figure 2.3.1. 13-?m-thick CYCLOTENE is masked with photoresist/Au/Cr layers and etched with O 2 /CF 4 gases using reactive ion etching. Photoresist is striped after etch. SEM is taken with ?15? angle..?.????????????????...? 2.3.4 SEM of the cross section of the etched CYCLOTENE. The CYCLOTENE thickness is 12.8 ?m, masked by 10 ?m photoresist and 0.5 ?m Au/Cr. The etched profile has two angles????...???? 2.3.5 The etch profile of 12.8 ?m BCB (shown in previous figure) masked with 1.6-?m-thick photoresist and ?0.5-?m-thick Au/Cr. The angle measurement was performed inside a focused ion beam/electron beam system?????????????????????????.. 2.3.6 SEM of the etched profile (angled view)????????????. 2.3.7 SEM of the etched BCB profile (angled view)?????????? vii 31 31 34 36 38 42 58 60 61 62 2.3.8 SEM of silicon surface shows the etch by-products (residue) on the silicon after the removal of 12.8 ?m CYCLOTENE??????? 2.3.9 SEM of the gold surface shows the Au is sputtered after the long RIE with CF 4 /O 2 ???????????????????????.. 2.4.1 Anisotropic etch profile of silicon. (111) plane is etched much slower than (110) and (100) planes. The angle of the side wall is fixed to 54.74??????????????????????????... 2.4.2 Optical micrograph of the top view of the groove etched with KOH. The misalignment of the trench direction with the (110) plane causes the wall to be discontinued and have some non-ideal characteristics?.. 3.1.1 Cross section of a test structure composed of (1) patterned metal etch mask, (2) BCB film, and (3) silicon nitride on silicon substrate.??? 3.2.2.1 Cross section schematic of desired structure. CYCLOTENE and metals (Cr/Au) were deposited. Au/Cr films were patterned, CYCLOTENE and SiN were etched by RIE, and Si was etched in KOH. CYCLOTENE layer was masked by Au during Si etch process.. 3.2.6.1 Temperature profiles of metal deposition and hard cure for samples #21 (a) and #22 (b). Temperature ramp time from 25 ?C to 150 ?C was increased from 1 min to 15 min in (b). Temperature was kept constant during deposition in (b). The deposition was done for 10 min at T=200?C????????????????????????... 3.2.6.2 Optical micrograph of a die with (a) SiN/hard-cured CYCLOTENE/Cr/Au films etched in a 20 %w, 80 ?C KOH solution. The metal layers were peeled off after 10 min exposure to KOH and the underlying CYCLOTENE layer was attacked by KOH (sample #2). (b) Negligible metal peel-off after 8 h of etching. The CYCLOTENE film was soft-cured and covered with AP3000 prior to metallization, and hard-cured afterwards (sample #22)??????... 3.2.6.3 Scanning electron micrograph of the cross section of the etched silicon structures. Silicon nitride is hanging on silicon. Gold layer has protected the underlying CYCLOTENE during 8 h KOH etching?..? 3.2.6.4 Scanning electron micrograph of the cross section of the etched silicon structure in presence of CYCLOTENE and metal mask. CYCLOTENE was protected by metal mask during 8 h KOH etching.. 62 65 67 68 71 74 74 75 76 78 3.2.6.5 Optical picture of the area of a size of about 70?70 mm of test wafer after 8 h KOH etching. The metal (Cr/Au) was not peeled off after the long KOH etch. The areas shown in orange/yellow are gold and the silicon etched grooves are in black????...????.?????.. 4.1.1 Summary of interface studies performed at the surface or interface of different films??????????????????????... 4.2.1 Principle of secondary ion mass spectroscopy. Primary beam is used to sputter the surface. Positive and negative ions, atoms or molecules are sputtered from the surface. The secondary ions are detected by a mass spectrometer?????????????.?????????? 4.2.2 Concept of time of flight mass spectrometry used for SIMS????... 4.2.3 Basic concept of the Auger electron spectroscopy is demonstrated in 4 steps??????????????????????????.. 4.4.1 ToF-SIMS depth profiles of samples I and II. (a) Shows insignificant Cr concentration at the Au surface of sample I. (b) Shows three orders of magnitude Cr signal intensity increase after curing at 250 ?C for 1 h for sample II. Curing has caused the Cr diffusion into Au (sputtering cycles start at the Au surface)????????????????.. 4.4.2 AES depth profiles of samples I (a) and II (b) show broader Cr distribution for sample II. Concentration of Cr in the Au layer was also higher in figure (b). AES was performed to quantify the Cr concentration at Au surface. Cr concentration was found to be 1 atomic percent at the Au surface (sputtering cycles start at the Au surface)?????????????????????????. 4.4.3 High resolution ToF-SIMS imaging show lateral distribution of Cr and Au ions of sample II. From left to right: a) Cr (116: CrO 4 - ), b) Au (229: AuO 2 - ), c) superposition of the first two (CrO 4 - in red, AuO 2 - in cyan), d) AuO 2 - / CrO 4 - ratio, and e) CrO 4 - /AuO 2 - ratio. The field of view is 15x15 ?m 2 and lateral resolution is about 0.2 ?m. Chromium- enriched grains of 2 ?m or smaller are visible in Figure (a). Cr diffusion into Au layer was not homogeneous (figures (a)-(e)).............. 4.5.1 Molecular structure of (a) CYCLOTENE monomer, (b) AP3000 (Vinyl-triacetoxy-silane) adhesion promoter??????????... 4.5.2 Positive ToF-SIMS profile of (a) sample III, and (b) sample IV. The profile of Cr, Si, CrSiO, CrO, and SiH are shown. Adhesion promoter track marks were detected?????????????????... viii 81 82 84 85 4.5.3 Positive ToF-SIMS depth profiles with Ar + sputtering together with O 2 flooding of (a) samples III (unfilled graph) and IV (filled graph), (b) samples V (unfilled graph) and VI (filled graph). Diffusion of Si into Cr layer after hard curing is enhanced by AP3000?????..?? 4.5.4 Negative ToF-SIMS depth profiles with Cs + sputtering of (a) samples III (unfilled graph) and IV (filled graph), (b) samples V (unfilled graph) and VI (filled graph). Cr is oxidized at the interface and diffusion of C into Cr layer after hard curing is enhanced by AP3000.????????????????????????... 4.5.5 Atomic force microscopy (AFM) of the surface of samples a) IV, b) IX, c) IX, and d) II. Image area in all four figures is 10 ?10 ?m 2 ??... 4.5.6 SEM of the surface of samples a) II, b) III, c) IX, and d) IX shows the surface morphology of different film stacks. Formation of Cr/Au alloy was one of the reasons for the surface roughening????????.. ix 1 1. Background and Motivation 1.1. Introduction to Power MEMS A microelectromechanical system (MEMS) is a miniature device or an array of devices that combines electrical and mechanical components to fulfill sensing or actuation tasks. The term ?micro? refers to micrometer (?m) dimensions of the device, ?electro? to the electrical or electronic aspects of the device, ?mechanical? to the mechanical interaction, and ?systems? to having all the above characteristics in one structure. The given definition for MEMS is loose. Some of the current MEMS devices in fact may not follow the given definition. For example the size of the MEMS device could vary from sub-micrometer up to millimeter. Also the concept of MEMS could include magnetic, thermal, fluidic, or optical devices with or without moving parts [1]. All or some of the above components can be used in design and fabrication of a system for sensing, actuation, or many other purposes. In general, MEMS can be divided into two major groups: sensors and actuators. Pressure sensors, accelerometers, gyroscopes, and chemical sensors are examples of MEMS sensor devices. Micromotors, micropumps, microvalves, comb drive actuators, and micromirrors are examples of MEMS actuators. Microfluidic devices are also another category. Using microelectronic batch fabrication technology, MEMS devices are fabricated to be less expensive, smaller, and more energy efficient than conventional counterparts. 2 MEMS take advantage of the fabrication technology that developed over many years for building integrated circuits (IC). However, some new techniques and technologies have been developed and used primarily in MEMS fabrication. Surface micromachining [2] is accomplished by deposition and etching of thin films on substrate resulting in the fabrication of thin MEMS structures. Bulk micromachining [3] includes deep etching into substrate material to create trenches in the range of hundreds of micrometers. Both dry and wet techniques are available for MEMS devices. These technologies have become more mature in the last 15 years and are widely used for the fabrication of MEMS devices. The category of microelectromechanical devices that is investigated in this study is called Power MEMS. Power MEMS is a group of MEMS devices for power conversion; e.g. conversion from electrical to mechanical or mechanical to electrical domains. Micromotors and microgenerators are examples of Power MEMS devices. Side-drive, variable capacitance micromotors fabricated by surface micromachining were among the very early Power MEMS devices. The first electrostatic micromotors with diameters of 60-120 ?m were developed at the University of California, Berkeley [4]. These were polysilicon surface micromachined side-drive motors with direct bushing for mechanical support [5]. Low speed of 500 rpm was achieved due to high friction of sliding surfaces and electrical contact degradation. The improved design of the variable capacitance micromotor (VCM) by other groups resulted in fabrication of more reliable motors with speeds of about 15,000 rpm [6-9]. 3 1.2. Motivation In traditional power MEMS devices a thin layer (1-2 ?m) of silicon dioxide (SiO 2 ) or silicon nitride (SiN x ) were used as electrical insulating layer. The electrical loss in these machines is a function of the capacitance formed between electrodes and substrate. The capacitance is a function of the dielectric constant and thickness of the dielectric film. Silicon nitride has a dielectric constant of 6-9 [10]. The dielectric constant of silicon dioxide is 3.9 [11]. The need for reliable thick dielectric films (k<3) for MEMS applications led to extensive research on alternative or improved dielectrics to substitute conventional silicon nitride and oxide film for MEMS applications [12-15]. For such applications, these dielectrics need to be thick, crack-free films with low dielectric constant (k<3), high breakdown voltage, and low residual stress. Low dielectric constant, together with large thickness of the dielectric layer reduces the amount of unwanted parasitic capacitances in micromotors/microgenerators. The high driving voltage requirement of electric micromotors/microgenerators also requires the electrical insulation with high breakdown voltage or increased thickness. Studies were done on tetraethylorthosilicate (TEOS)-base and silane-based plasma-enhanced chemical-vapor- deposited (PECVD) silicon dioxide [12-15] as alternative films. PECVD oxide technology which is deposited at relatively low temperature (around 300 ?C) can currently produce films as thick as 20 ?m [12]; however, these films exhibit a high amount of stress which in some cases results into film crack. To overcome the problems associated with TEOS and PECVD films, in specific applications, it is preferred to use other dielectrics with enhanced features. Bis- benzocyclobutene (BCB) polymer is one of the alternatives that we used as a dielectric 4 insulating layer in fabrication of micromotor/microgenerator structure. One example of the micromotor/microgenerator structure is shown in Figure 1.2.1. The structure is composed of (1) stator, (2) slider, and (3) microball bearing [16]. The stator is built on silicon substrate with 2 levels of metal film, 3 levels of insulator film, and grooves etched into silicon for housing the microballs. The slider is a silicon substrate with periodic features etched into silicon as well as 2 or more trenches for housing the microballs. Figure 1.2.1: Schematic of the bottom-drive linear variable-capacitance micromotor. The device is composed of three major components: (1) stationary part (stator), (2) moving part (slider), and (3) microballs for mechanical support [16]. In such structures (Figure 1.2.1) a low-k dielectric film is used to (1) minimize the parasitic capacitance between the metal electrodes and substrate, (2) minimize the parasitic capacitance between the adjacent metal electrodes, and (3) isolate the two metal layers from environment and protect the device against moisture and particles. A fabrication process is necessary to accommodate the creation of deep etched structures in Microball V-groove Stator Metal electrode Slider Dielectric layer 5 silicon using conventional bulk micromachining techniques in presence of BCB polymer films for electrostatic micromotors/microgenerators. 1.3. BCB as a Low-k Dielectric and MEMS Material Spin-on, low dielectric constant BCB polymers [17-19] are promising candidates as insulating dielectric material in MEMS. Electrical and mechanical properties of this film are summarized in Table 1.3.1 [20]. CYCLOTNE is the commercial name of BCB produced by Dow Chemical Company (Midland, MI) and is commercially available in different types and viscosities. In this thesis the CYCLOTENE 3022 series was used in all the experiments. In this manuscript the terms ?BCB? and ?CYCLOTENE? are used interchangeably despite the fact that BCB refers to the chemical name of the monomer and CYLCOTENE carries the brand name. The main advantage of BCB over the most widely used dielectric material, PECVD SiO 2 , is its ability to be deposited as thick insulating layer (up to 50 ?m) with Property Value Coefficient of thermal expansion, ppm/?C 52 Glass transition temperature, ?C >350 Tensile modulus, GPa 2.0?0.2 Poisson ratio 0.34 Residual stress on silicon, MPa 28 Dielectric constant (at 1 kHz) 2.65 Dissipation factor (at 1 kHz) 0.0008 Breakdown voltage (V/cm) 3.0? 10 6 Volume resistivity (?-cm) 1?10 19 Table 1.3.1: Summary of the physical properties of CYCLOTENE. 6 low residual stress. BCB with a dielectric constant of k=2.65 has much lower residual stress than PECVD SiO 2 . It also has high level of planarization. These features make BCB attractive for MEMS applications. BCB is also a spin-on material which makes its deposition simpler than conventional chemical vapor deposition (CVD) processes used for dielectrics like silicon dioxide and silicon nitride. Deposition process is completed with a cure step which is done at a relatively low temperature (250 ?C). The disadvantage of the BCB to PECVD SiO 2 is the maximum tolerable temperature. BCB has a glass temperature of 350 ?C which limits its use in high-temperature applications. Table 1.3.2 compares some main properties of BCB and silicon dioxide films. CYCLOTENE was primarily developed as an inter-level dielectric (ILD) and passivation coating in microelectronic interconnects [21, 22]. It has been used in multichip module (MCM) packaging [23-26], flip-chip solder bumping [27, 28], Damascene copper (Cu) interconnect [29], as a stress buffer and passivation layer [30], as Property/Material BCB SiO 2 [10] Dielectric constant 2.65 3.9 Deposition process/method Spin-casting, Spray-coating PECVD and thermal Deposition temperature 250 ?C 200-1100 ?C Max. thickness 50 ?m 20 ?m Residual stress 28 MPa, tensile 100-300 MPa, tensile or compressive Glass transition temp 350 ?C - Table 1.3.2 Comparison of physical properties and deposition parameters of BCB and SiO 2 7 an insulating dielectric in RF high-Q inductors [31] and for optical waveguides [32, 33]. Humidity sensors [34], microswitches [35], and microfilters [36] are examples of devices utilizing BCB. With few exceptions, implementation of CYCLOTENE in MEMS has been mainly limited to packaging [37] and adhesive bonding [35, 38-40]. Some preliminary studies on the mechanical, optical, and electrical properties of the film have now enabled the implementation of the CYCLOTENE as a MEMS material. Mechanical properties of this film that includes fatigue effects on adhesion [41, 42], strain-stress relationship, coefficient of thermal expansion (CTE), residual stress , and adhesion to different substrate materials have been previously reported [43, 44]. Index of refraction has been measured and reported [45]. The electrical characterization of film including dielectric constant, breakdown voltage, I-V characteristics, and the effect of moisture on those properties have been recently reported by our group [46]. Some MEMS devices were also fabricated using this polymer. CYCLOTENE diaphragm has been fabricated for its low thermal conductivity (0.0029 Wcm -1 K -1 ) and mechanical robustness in a MEMS-based infra-red detector [47]. CYCLOTENE has been also used for fabricating single mode optical waveguides at 1300 nm [32]. Plane and curved waveguide mirrors, the latter acting in the same way as cylindrical lenses, are made with enhanced reflectivity by metallization of edges [33]. A red blood cell microfilter was fabricated using a CYCLOTENE as a channel and bonding material [36]. In such a device blood cells are forced to pass through capillaries made out of CYCLOTENE and glass that are slightly smaller than their diameter. Healthy cells have enough deformability to pass through a capillary. Chemical-mechanical planarization of CYCLOTENE which is used in both 8 integrated circuits and MEMS technology has been developed in the past few years [48, 49]. 1.4. Integration of BCB Polymer and MEMS Bulk Micromachining The advantages of using a low-k dielectric as an insulating layer with excellent mechanical and electrical properties make CYCLOTENE attractive for MEMS devices. However, any new material should be integrated with the MEMS fabrication processes. One of the major MEMS fabrication technologies is bulk micromachining [3]. Anisotropic etching of silicon by alkali hydroxide etchants such as potassium hydroxide (KOH) is one of the most common techniques of wet bulk micromachining [50]. Etching process with KOH solution could be tuned to provide the desired etch rate with relatively low surface roughness and high etch selectivity between <100> and <111> planes [3]. Highly-doped silicon could also be used as an etch stop layer [51]. Structures with fixed angle of 54.74? are etched in <100> silicon. Such anisotropic etching is either a part of back side etching process to release membranes for sensor application [52, 53] or front side etching to fabricate grooves in silicon as microfluidic channel [54], housing for optical fiber [55], or microball bearing [56, 57]. More detail on the KOH etching is given in Section 2.4. CYCLOTENE, like other organic materials [58], has poor interfacial fracture resistance (adhesion) to inorganic materials [59]. Adhesion of polymer and metal has long been a field of interest and research in microelectronics area [60, 61]. Quantitative analysis of adhesion strength between CYCLOTENE and under-fill has been reported previously [62]. The poor adhesion becomes a major problem when processes such as wet etching in corrosive chemicals are performed after CYCLOTENE deposition. 9 The polymer film is attacked and etched in the corrosive solution, therefore the film peels off from the inorganic substrate after exposure to specific chemicals used in the fabrication process. This problem is more severe when CYCLOTENE is used as an insulating layer in conjunction with metallized levels like Al, Cu, or Au that adhere poorly to CYCLOTENE. The 3-D view of one example of the structures utilizing the integration of CYCLOTENE and bulk micromachined bearing structures is shown in Figure 1.4.1. We successfully demonstrated that fabrication of deep silicon etched structures together with CYCLOTENE dielectric films could be preformed using appropriate metal etch masks provided that a strong metal-CYCLOTENE adhesion is achieved. 1.5. Summary The concept of microelectromechanical systems (MEMS) and devices was introduced in this chapter. Micromotor and power microgenerator are two examples of Power MEMS devices. These devices are used for electrical-to-mechanical or Metal #2 Metal #1 LPCVD SiN <100> p-type silicon Bearing BCB Via Figure 1.4.1: Three dimensional schematic of the stator of a micromotor shows the integration of two components: (1) bearing structure etched in silicon, and (2) BCB dielectric films. 10 mechanical-to-electrical power conversion. A bottom-drive linear variable-capacitance micromotor, together with the importance of using low dielectric constant material in its structure, was described. Spin-on, low-dielectric-constant benzocyclobutene (BCB) polymer and its electrical and mechanical properties were explained. Physical properties and deposition methods of BCB and other conventional dielectric films were compared and it was shown that BCB is an excellent dielectric candidate for MEMS applications. Key advantages of BCB films to the most widely used dielectric films, silicon dioxide are: (1) low dielectric constant, (2) large thickness, and (3) low residual stress. These features make BCB attractive for MEMS applications. However, a new fabrication process is necessary to protect these films during the highly-corrosive, long, and high- temperature KOH etch step used in fabrication of the micromotor. In the second chapter of this thesis, the micromotor/microgenerator process flow, the need for integration and the basic fabrication technologies including BCB film deposition, reactive ion etching, and anisotropic etching using KOH are described in detail. Experimental results for optimizing these processes are discussed. The basic techniques explained in Chapter 2 are all used and referenced in the experiments discussed in Chapter 3. In Chapter 3, techniques used for integration of BCB polymer and KOH etched structures are discussed. Adhesion failure between metal and BCB is the major problem in the integration of these two components. Adhesion improvement was performed by a series of experiments. Test structures fabricated for this purpose as well as their successful integration results are reported and explained at the end of the chapter. 11 Surface and interface analysis for adhesion improvement are discussed in Chapter 4. Different techniques were investigated for this study. The science behind the adhesion improvement between BCB and metal is explained in some detail. The thesis is concluded with a summary of the results and future work. A full bibliography, including all the references used in this study, is presented at the end. 12 2. Development of Micromotor Fabrication Process 2.1. Overview of Micromotor/Microgenerator Fabrication Process Fabrication process of the bottom-drive, linear, variable-capacitance micromotor (B-LVCM) and the need for integration of the CYCLOTENE processes and KOH etching of silicon are described in this section. The micromotor shown in Figure 1.2.1 is composed of a stator and a slider. The slider is supported on stainless steel microballs. The microballs are housed in the bearing structure etched into silicon on the slider and the stator. The fabrication process of the slider is very simple and is composed of one step lithography and deep reactive ion etching (DRIE) of silicon to create both bearing and periodic protruding structure. Simplified fabrication process flow of the stator of the six-phase B-VCM is shown in Table 2.1.1. This mainly includes deposition and etching of metal and low-k dielectric layers and anisotropic wet etching of silicon using KOH. Cross section of the fabrication processes steps are shown in Figure 2.1.1. The fabrication process mentioned in Table 2.1.1 is a simplified version where each step is composed of several sub-steps developed for this purpose. The most challenging process step is the integration of two different structures (bearings and BCB polymer) which is described in detail in the next section. The substrate was chosen to be boron doped p-type silicon. There are three reasons for choosing p-type silicon. First, the substrate of the motor needs to be relatively good conductor to form one solid electrical ground necessary for electrical actuation of 13 the motor. P-type or n-type silicon will provide a substrate with low resistivity. Second, the anisotropic etching of silicon has been better characterized using p-type silicon, therefore p-type silicon is preferred over n-type. Furthermore the etch rate of p-type silicon does not change with the level of doping less than 1019 cm-3 [51]. Third, p-type silicon makes good ohmic contact with aluminum (Al). N-type makes non-linear contact with silicon [63], however this non-linearity is more observable at low voltages. Step Process Details 1 Starting wafer: silicon P-type, <100>, 1-10 ?-cm 2 Silicon nitride deposition Low stress LPCVD 3 BCB level #1 deposition 1-?m-thick dry-etch BCB 4 Metal level #1 lift-off (mask_1) Image reversal lithography, metal sputtering, and lift-off in acetone 5 BCB level #2 deposition 1-?m-thick dry-etch 6 Photolithography (mask_2) 1.6-?m-thick positive photoresist 7 RIE of BCB level #2 (via formation) Gases: O 2 /CF 4 : 90/10 8 Metal level #2 lift-off (mask_3) Image reversal lithography, metal sputtering, and lift-off in acetone 9 BCB level #3 deposition Explained in Chapter 3 10 Photolithography (mask_4) 1.6-?m-thick positive photoresist 11 RIE of BCB level #3 Gases: O 2 /CF 4 : 90/10 12 Metal #3 deposition Explained in Chapter 3 13 Wet etch metal #3 Commercial Au/Cr etchants 14 RIE of BCB level 1,2, and 3 10-?m-thick positive photoresist 15 RIE of silicon nitride Gases: O 2 /CF 4 : 10/50 16 Silicon etch with KOH 20%W, 80 ?C 17 Strip metal #3 Commercial Au/Cr etchants Table 2.1.1: Micromotor fabrication process steps 14 V-groove fabrication is performed after the completion of the active area of the motor which includes metal electrodes and CYCLOTENE dielectric levels. An alternative to this process is the fabrication of the bulk micromachined grooves prior to making the active area of the motor. The challenging part of the latter process is to planarize the grooves after deep etching into silicon to perform a planar fabrication process like photolithography. Since the depth of our etched structures in silicon is in the range of 150-200 ?m, the planarization is very challenging. Planarization of shallower trenches (smaller than 10 ?m) is less challenging and has been demonstrated before [64]. (a): deposit low-stress LPCVD silicon nitride on both sides of the silicon wafer. (b): deposit 1-?m-thick BCB film (BCB level #1). Figure 2.1.1: Fabrication process flow of the stator of linear variable-capacitance micromotor. BCB level #1 LPCVD silicon nitride Silicon 15 Photoresist (c): Lift-off profile is produced by image reversal photolithography process. Metal level #1 (d): Sputtering of 200 ? of Cr (adhesion layer) is followed by sputtering of 0.5 ?m Au and lift-off in acetone. Metal level #2 (f): Sputtering of 200 ? of Cr (adhesion layer) is followed by sputtering of 0.5 ?m Au and lift-off in acetone. Figure 2.1.1 (continued): Fabrication process flow of the stator of linear variable- capacitance micromotor. (e): Deposition of 1-?m- thick BCB is followed by photolithography with 1.6- ?m-thick positive photoresist, and RIE of BCB using O 2 /CF 4 gases. BCB level #2 16 Silicon nitride BCB levels 1, 2, and 3 Figure 2.1.1 (continued): Fabrication process flow of the stator of linear variable- capacitance micromotor. Metal level #3 (h): Sputtering of 200 ? of Cr (adhesion layer) is followed by sputtering of 0.5 ?m Au and lift-off in acetone. BCB level #3 (g): Third BCB level is deposited on the second metal layer. (i): Final stator structure: metal #3 is first patterned with one photolithography and wet etch step. BCB films (levels #1, 2, and 3) are etched in one RIE step. Silicon nitride is etched in RIE. Silicon is etched in KOH for 8 h after short etch in buffered hydrofluoric acid to remove the native oxide. Metal #3 BCB #3 Metal #3 Metal #1 V-groove etched in silicon Silicon substrate BCB #2 Metal #2 BCB #1 17 2.2. CYCLOTENE Deposition and Cure CYCLOTENE is a spin-on dielectric. Deposition process of the film is normally done in two steps: 1) spin, and 2) cure. CYCLOTENE 3022 is used for different layers of the structure. One of the advantages of CYCLOTENE 3000 series is thick film deposition capability. CYCLOTENE could be deposited with a thickness of 1 to 26 ?m as a single layer. It is also possible to deposit multi-layers up to 50 ?m thick. The deposition process of CYCLOTENE starts with spinning. Depending on the desired thickness, the spin speed varies from 1000 to 5000 rpm. Curing the films is performed in the absence of oxygen. Full curing of film is done in nitrogen purged tube at 250 ?C for 1 h. It is also possible to partially cure the film. If the film is cured at 210 ?C for 40 min, approximately 75% of the film is polymerized. Since some chemical bonding is still available after soft cure, this results in better adhesion of the film to subsequent layers. At temperatures above 150 ?C and in presence of oxygen the film will be oxidized. Therefore, during cure process, it?s very important to keep the films in the environment with oxygen content of less than 100 ppm. The deposition process is as follows. Wafers are dehydrated at 125 ?C on a hotplate for 10 min. Dehydration is very important, especially when the wafer has previously undergone wet processes before CYCLOTENE deposition. If dehydration on a hotplate is not possible, the wafer can be dehydrated in a box purged with nitrogen. Dehydration drastically improves the adhesion between CYCLOTENE and the substrate. Polymers generally suffer from poor adhesion to inorganic materials [59]. In order to improve the adhesion of CYCLOTENE to the substrate, AP3000 TM from Dow chemical, which is a silane-base adhesion promoter, is applied to the surface. AP3000 is 18 spun on wafer at 3000 rpm for 30 sec. AP3000 acts as primer and bonds CYCLOTENE and inorganic substrates. The effects of AP3000 are discussed in detail in Chapters 3 and 4. Depending on the desired CYCLOTENE thickness and the viscosity of the solution, different spin speeds are recommended. Table 2.2.1 and Figure 2.2.1 show the thickness of the deposited film versus the spin speed for different types of 3022 CYCLOTENE. The only difference between these types is the viscosity of the solution. Full cure of the film at 250 ?C results in more than 95 % polymerization of the film (hard cure). Curing at 210 ?C will result in 75 % polymerization (soft cure). Figure 2.2.2 shows the details of curing process. The curing profile of CYCLOTENE, which determines the level of polymerization of this film, has a direct effect on the adhesion of this film to the substrate or other layers. The less the film is polymerized, the more bonds will be available for other films that are deposited on top of CYCLOTENE. Other non- standard curing profiles has also been reported [65-67]. The cure mechanism of BCB is a result of a chemical reaction known as Diels-Alder reaction [68] named after 1950 Nobel Prize Laureates Otto Diels and Kurt Alder [69]. Spin Speed (rpm) Cyclotene 3022-35 Cyclotene 3022-46 Cyclotene 3022-57 Cyclotene 3022-63 1000 2.4 5.8 15.6 26 2000 1.7 3.8 9.3 16 3000 1.3 3.0 7.3 13 4000 1.1 2.6 6.3 11 5000 1.0 2.4 5.7 9.5 Table 2.2.1: Thickness of the CYCLOTENE film as a function of spin speed [www.cyclotene.com]. 19 Deposition of the CYCLOTENE film using the method explained earlier results in a relatively uniform thickness. The uniformity across the 100-mm-diameter (4?) wafer was measured for a thick CYCLOTENE film (3022-63) after hard curing. The wafer was spun at 500 rpm for 8 sec, and 1000 rpm for 30 sec following the standard cure in the box furnace at 250 ?C for 1 h in a N 2 environment. Figure 2.2.3 shows the film thickness measurement by contact profilometery. The average thickness of 26.49 ?m with standard deviation of 3.44 ?m was measured across the wafer, including the edges. Since the edge- bead removal technique was not used in the spinning process the edge of the wafer has higher thickness. By neglecting the points measured at the edges, the average thickness of Figure 2.2.1: Thickness of the CYCLOTENE film as a function of spin speed for 4 different solutions with different viscosities [www.cyclotene.com]. 0 5 10 15 20 25 30 0 1000 2000 3000 4000 5000 6000 3022-35 3022-46 3022-57 3022-63 Final film thickness [ ? m] Spin speed [rpm] 20 24.69 ?m with standard deviation of 0.32 ?m is obtained. The film uniformity could be improved using automatic dispenser and edge-bead removal system. Residual stress of the thin film on the silicon substrate has been reported to be around 28 MPa [70] and is not independent of the cure profile. Stress of 24-38 MPa was reported by Townsend et al. [71]. 0 50 100 150 200 250 300 0 50 100 150 200 Hard cure Soft cure Cure temp erature [ ? C] 75% polymerization 95-100% polymerization Figure 2.2.2: Cure profiles of CYCLOTENE for (1) 75% (soft cure) and (2) 95-100% (hard cure) polymerization recommended by the manufacturer. Cure time [min] 21 0 5 10 15 20 25 30 35 1234567891011213 2.3. Reactive Ion Etching of CYCLOTENE Reactive ion etching (RIE) is widely used for dry etching of polymers. For low-k dielectrics RIE is mainly done to etch via holes for the metal-polymer interconnects. CYCLOTENE is made of carbon, hydrogen, oxygen, and silicon. The chemical structure of the CYCLOTENE is discussed in Chapter 4. In order to etch CYCLOTENE two gases are essential. One is oxygen to remove the carbon of the polymer, and the other is a halogen (chlorine or fluorine) to remove the silicon backbone. The key characteristics of the etched profile are: ? Etch rate, Figure 2.2.3: Uniformity of the CYCLOTENE film thickness after spin and hard cure. Measurement was done at 13 points across a 100-mm-diameter wafer. CYCLOTENE thickness [ ? m] Location number on the wafer Wafer edge 22 ? Selectivity of polymer to etch mask, ? Etch uniformity across the wafer, ? Lateral to vertical etch rate ratio, ? Side wall roughness, ? Side wall angle, ? Etch cleanliness. In general the ?perfect? etch profile has a high etch rate, a high selectivity (at least 10), a good etch uniformity across the wafer, a low lateral to vertical etch ratio, smooth side walls, and a vertical side wall (90? angle) with no or insignificant etch residue. The parameters that influence the above characteristics are generally: ? Type of gases and their concentration ratio, ? Chamber pressure, ? RF power, ? Gas flow rate, ? Type of the tool i.e. RIE, inductively coupled plasma (ICP), and electron cyclotron resonance (ECR) [72]. Reactive ion etching of CYCLOTENE with different gases were reported by Paik et al. [73]. The reactive ion etching of CYCLOTENE was investigated by Chinoy [74] using O 2 and SF 6 gases. As mentioned above, a halogen gas is necessary to remove the silicon from the backbone of the polymer. It is reported that in the absence of O 2 , the silicon oxide will be created at the surface that acts as an etch stop. This phenomenon is also reported using X-ray electron spectroscopy (XPS) by Vitale et al. [75]. Chinoy [74] reports that an increase in the power can result in an increase in etch rate, less etch 23 residue, and less uniformity. The concentration of the SF 6 that results in highest etch rate was found to be 0-5%. Pressure was found to have negligible effect on the etch rate. In the tool used for this study, the effective DC bias on the electrode was decreased by pressure increase. This drop in the bias voltage will result in decrease in the etch rate. On the other hand, the density of the radicals increases by increasing the pressure, which will result in higher etch rate. Therefore, the net effect of pressure was found to have no effect on the etch rate. The selectivity of the CYCLOTENE etch rate to photoresist etch mask increased by increasing SF 6 concentration (oxygen etches photoresist, therefore the higher the oxygen concentration is, the higher the etch rate of photoresist will be). The lateral etch rate, cleanliness, and uniformity were also found to increase with increase in SF 6 concentration. However, the etch rate of CYCLOTENE decreased with increase in SF 6 concentration. The recommended etch recipe was 15% SF 6 , 200 W power, 250 mTorr pressure. The etch rate was found to be 0.6 ?m/min. Different etching chemistries have been reported for CYCLOTENE reactive ion etching. Vitale et al. reports on using Cl 2 /O 2 and F 2 /O 2 gases with high density plasmas [75]. O 2 /NF 3 has also been reported for CYCLOTENE etching in after-glow plasma [76] as well as O 2 /CF 4 [21]. CYCLOTENE can also be etched using an inductively coupled plasma etcher [77]. This technique is expected to provide vertical profile (very close to 90?) with smoother side walls. We tried the RIE of CYCLOTENE with O 2 /CF 4 gases with different etch masks. The selectivity of CYCLOTENE to photoresist was found to be less than 1 where etch selectivity is defined as rateetchtPhotoresis rateetchCYCLOTENE . Therefore, for etching thick CYCLOTENE film (>10?m) the thickness of the photoresist mask should be at least 15 ?m thick. There are couples of thick photoresist available for such applications. AZ9245 24 from Clariant (Somerville, NJ) can be spun to get 10-?m-thick resist. For thicknesses less than 25 ?m, AZ P4620 and Shipley SPR 220 are available. JSR THB 611P can be deposited up to 65 ?m thick and AZ PLP 100XT up to 50 ?m thick. SU-8 (Microchem, SOTEC) which is a photo-patternable epoxy covers the thickness range of 2-1000 ?m. There are, however, several problems associated with thick photoresist that include complexity of resist coating equipment, uniformity, exposure out-gasing, bubbling, blurring effects, and stripping [78]. Another important issue is the low selectivity of the RIE. Since oxygen is used as a main gas in etching the CYCLOTENE, the etch rate of photoresist is high (selectivity is low). The degradation of the photoresist mask directly influences the etch profile of the CYCLOTENE. The lateral etch of the thick photoresist mask in the RIE process, is transfered with some coefficient (selectivity) into the film. This phenomenon decreases the slope of the etched CYCLOTENE (less vertical side wall). The base recipes for silicon nitride, silicon and CYCLOTENE etching developed at MEMS Sensors and Actuators Lab (MSAL) are listed in Table 2.3.1. Meterial Power [W] Pressure [mTorr] Gas 1 [Sccm] Gas 2 [Sccm] Silicon 100 200 SF 6 , 20 O 2 , 20 Silicon nitride 100 250 CF 4 , 50 O 2 , 5 CYCLOTENE 100 250 O 2 , 70-90 CF 4 , 10 Cleaning 200 250 O 2 , 50 - Table 2.3.1: Etch recipes for reactive ion etching of Si, SiN, CYCLOTENE, and chamber cleaning. 25 For the fabrication process development explained in Section 2.1 and for the unit process development which will be discussed in Chapter 3, the RIE of silicon nitride and CYCLOTENE are needed. The etch rate of SiN is on average 1982 ?/min with uniformity of 12.8%. The selectivity of the SiN to photoresist (Shipley 1813 baked at 120 ?C for 10 min on hotplate) is 0.71. The silicon etch rate is given for comparison and results in a selectivity of 7.7. The etch results for the CYCLOTENE film with the thickness of 12.8 ?m are shown in Figure 2.3.2. The sample was prepared on p-type silicon. Low stress LPVCD silicon nitride was deposited on both sides of the wafer. The thickness of the nitride was 2500 ?. CYCLOTENE was deposited next. It was spun at 500 rpm for 8 sec and 3000 rpm for 30 sec. The film was cured at 210 ?C in the box furnace for 40 min inside the nitrogen purged quartz tube. The thickness of the CYCLOTENE film was later measured to be 12.8 ?m. The Cr/Au was then deposited by electron beam evaporation. The base pressure (before deposition) was 4.5?10 -7 . The thickness of the Cr and Au was 200 ?, and 0.5 ?m respectively. The deposition rate of Cr and Au was about 7.8 ?/sec and 12.5 ?/sec. The wafer was dehydrated on a hotplate at 120 ?C for 10 min. The photolithography was performed with 1.6-?m-thick Shipley 1813 spun at 3000 rpm for 30 sec. The resist was soft baked at 100 ?C for 1 minute on a hotplate. The exposure dose of 180 mJ/cm 2 was used. The optical mask used in contact lithography (using Quintel Q400) is shown in Figure 2.3.1. The actual mask is composed of several dies shown in the figure. 26 After lithography the Au is etched by wet etchant type TFA (Transene Inc.). The Au etchant is composed of iodine complex, potassium iodide, and water. The nominal etch rate of Au at room temperature is 28 ?/sec. The exact etch rate depends on the geometry of the structure (loading effect), density of Au, and amount of solution agitation. The wafers were etched inside the solution for 2 min. The Cr etching is performed by wet etchant type TFD (Transene Inc.). This etchant is composed of ceric sulfate, nitric acid, and sulfuric acid. The nominal etch rate of Cr at 40 ?C is 25 ?/sec. The Cr was etched inside the solution for 15-20 sec. The solution was heated up to ?40 ?C on a hotplate. The wafer was then etched inside the RIE system (mini-lock, Trion Inc.). Prior to the etching, the RIE chamber was cleaned by oxygen plasma for 30 min (see Table 2.3.1). The wafer was etched for the total time of 6, 9, 10.5, and 12.5 min. After each etch Figure 2.3.1: Schematic top view of test structures. The dimensions are not to scale. 280 ?m 5 mm 10 mm 5 mm 27 cycle, the etch depth was measured by contact profilomter. The chamber was cleaned for 10 min between resuming each etch step. Figure 2.3.2 shows the etch depth measurement. 0 2 4 6 8 10 12 14 02468101214 The etch rate of the CYCLOTENE was measured to be 1.2 ?m. Figures 2.3.3 and 2.3.4 show the scanning electron micrographs (SEM) of top and side views of the etched trench. The wafer was prepared exactly in the same fashion, except the thicker photoresist was used to minimize the sputtering of the Au mask during the etch process. The sidewall angle was measured inside a dual-beam focused ion beam/SEM system (FEI 620). Figure 2.3.5 shows the sidewall angles of 68? and 41?. Figures 2.3.6 and 2.3.7 show a closer view of the wall morphology. The etched walls show significant surface roughness. The etch cleanliness is shown in Figure 2.3.8. Significant amount of etch residue remains on the etch areas. The SEM was taken without any cleaning process on Figure 2.3.2: Etch depth of thick CYCLOTENE using RIE, measured by contact a profilometer. The average etch rate was 1.2 ?m/min. Etch de p th [ ? m ] Etch time [min] SiN starts to etch 28 the wafer after the reactive ion etching step. The etch cleanliness can be improved by altering the RIE parameters or using a hard etch mask such as Al. Figure 2.3.10 shows the surface of the Au attacked by CF 4 /O 2 reactive ion etching. White spots are observed on the Au layer. To avoid the problem of CYCLOTENE side wall tapering during the reactive ion etching, implementation of the hard mask instead of the photoresist was investigated. Metallization of BCB was done by Au, Cr, Al, and titanium (Ti). The objective of this study was to test different hard mask materials for the RIE of the thick (10 ?m) BCB. Figure 2.3.3 SEM of a wide trench etched in CYCLOTENE using an optical mask similar to one shown in Figure 2.3.1. 13-?m-thick CYCLOTENE is masked with photoresist/Au/Cr layers and etched with O 2 /CF 4 gases using reactive ion etching. Photoresist is striped after etch. SEM is taken with ?15? angle. Etched BCB (Silicon surface) 13-?m-thick BCB masked with Cr/Au. 29 41? 12.8 ?m 8.1 ?m Figure 2.3.5: The etch profile of 12.8 ?m BCB (shown in previous figure) masked with 1.6- ?m-thick photoresist and ?0.5-?m-thick Au/Cr. The angle measurement was performed inside a focused ion beam/electron beam system. Figure 2.3.4: SEM of the cross section of the etched CYCLOTENE. The CYCLOTENE thickness is 12.8 ?m masked by ?0.5-?m-thick Au/Cr. The etched profile (wall) has two angles. Photoresist was removed before taking the SEM. BCB Silicon substrate Au/Cr Au/Cr (peeled- off after cleaving the sample for SEM) Etched BCB wall 68? 30 Figure 2.3.7 SEM of the etched BCB profile (angled view). Figure 2.3.6 SEM of the etched profile (angled view). Silicon surface underneath etched BCB Au surface Wall profile of the etched BCB Silicon surface underneath etched BCB Wall profile of the etched BCB 31 Figure 2.3.8 SEM of silicon surface shows the etch by-products (residue) on the silicon after the removal of 12.8 ?m CYCLOTENE. Figure 2.3.9: SEM of the Au surface shows the Au is sputtered after the long RIE with CF 4 /O 2 . 32 We investigated the feasibility of using Au, Cr, Al, and Ti films as hard masks. above materials were chosen based on the following reason: (1) availability or ease of access to deposit the metal films, and (2) existing data obtained from literature on widely used hard mask that use a similar gas recipe as BCB etch recipe. Previously in RIE processes using O 2 as the dominate gas, NiCr, CuCr, and NiTi films were used as hard masks [79]. From the SEM shown in Figure 2.3.3 we observed that if the etch time is long, Au sputters from the surface. Cr was also tested where was found its etch rate in RIE process using O 2 /CF 4 was relatively high. For all these reasons we narrowed down choices to Al and Ti hard masks. Two samples were prepared in the following manner. First 10-?m- thick BCB was spun on a silicon wafer. The wafers were cured at 170 ?C for 40 min in dry nitrogen. The curing temperature of BCB was chosen to be 170 ?C. The lower the curing temperature of the BCB the less polymerization (cross linking) takes place and therefore more bonds will be available for enhancing adhesion between metal and BCB layers. Metal deposition of Al and Ti were performed using sputtering system. Al deposition (sputtering) was done and the film quality was visually inspected afterward. The film was very uniform without any voids or ridges. The wafer was then dehydrated on a hotplate, but just after 2-5 sec baking, the Al film started to distort and pinholes and cracks appeared in the film. This is believed to be due to solvent evaporation from BCB and shows that curing at 170 ?C for 40 min is not enough to evaporate all the solvent inside the thick BCB film. This problem is more severe for a thicker film due to its volume capacity to store solvent. 33 Ti film was also cracked right after or during deposition process. The Ti and Al film were sputtered with the same recipe. The Ti deposition rate was 62 ?/min and the Al deposition rate was 135 ?/min. Ti, compared to Al, has a very low deposition rate; therefore the deposition time is very long. The longer the deposition time the higher the substrate temperature will be inside the vacuum chamber of the sputtering machine. This is due to the collision of the atoms and ions with the substrate that results in heat. Higher substrate temperature results in forcing the solvent out while the metal is encapsulating it; therefore the film cracks. Curing the BCB film before metal deposition must be done at its minimum allowed temperature that results in no (or negligible) solvent presence in the BCB film. This is more critical for thick BCB films (>10?m) since the volume of solvent stored in the film will be greater than that of the thin (1 ?m) film. Presence of the solvent causes the film to crack during the metal deposition or at any process step that involves high temperatures. 2.4. Anisotropic Etching of Silicon Using KOH There is a variety of methods used for bulk etching (micromachining) of silicon. The implementation of all these methods depends on the desired etch profile and specific application. In general, two categories of bulk micromachining of silicon exist: Isotropic and anisotropic. The names of these two methods describe the mechanism of the etching process. Isotropic etching is not direction dependent and etches the silicon in all directions with equal etch rates. Anisotropic etching of silicon is orientation dependent and etches the silicon faster in one direction that the other. 34 Silicon <100> is the most widely used type of silicon wafers. The indices refer to the direction of which the silicon is grown and oriented (miller indices). Different methods are used to grow the crystalline silicon. In the Czochralski crystal growth (pulling) method, a silicon crystal seed is grown into a silicon single crystal by rotating and pulling it slowly upward, at about 2-5 cm/h, from a molten and ultra pure silicon (Si melts at 1410 ?C) [10]. The silicon crystallizes around the seed while the seed is slowly pulled upward. The characteristics of silicon strongly depend on the rotation speed of the rod and pull-up speed, temperature, and chemical environment. Float-zone is another technique, similar to Czochralski that results in less contamination of silicon [10]. In <100> silicon the direction perpendicular to the surface is [100]. In this type of silicon, the <111> plane makes 54.74? angle with <100>, and <110> is perpendicular to <100>. The schematic of the structure etched in KOH is shown in Figure 2.4.1. Due to the fixed angle between the <111> and <100> planes the etched geometry follows the following relationship: [111] [100] Figure 2.4.1: Anisotropic etch profile of silicon. (111) plane is etched much slower than <110> and <100> planes. The angle of the side wall is fixed to 54.74?. [110] Z W 1 W 2 35 ZWW ??= )74.54(cot2 12 o Where W 1 is the width of the opening in the mask, W 2 is the width of the base of the groove, and Z is the etch depth in [100] direction. Anisotropic etching of silicon by potassium hydroxide (KOH) is the most common wet silicon anisotropic technique. Appendix I describes a chemical model for this type of etch proposed by Seidel et al. [50, 51]. The etch characteristics of silicon by KOH solutions are: 1) etch rate of <100> plane, 2) surface roughness, 3) etch selectivity of <110>: <100>: <111> planes, and 4) mask etch rate. The parameters affecting the etch characteristics are 1) KOH concentration, 2) solution temperature, 3) solution agitation, and 4) purity of silicon and solution. Each of the above parameters can be tuned to get the desired etch profile. For example, Tanaka et al. showed very fast etch rate of about 9.7 ?m/min for <100> using 50%W at 145 ?C which is close to the boiling point of the solution [80]. Effects of KOH concentration on etch profile are more discussed by Sato et al. [81]. The formation of pyramids and the effect of isopropanol, nitrogen and oxygen saturation in smoothing the etched surfaces were studied by Campbell et al. [82]. Metallic impurities of the KOH solution also play a role in the smoothness of the surface [83]. Surfactants used for removing the native oxide of silicon prior to KOH etching change the final surface roughness and etch rate [84]. 36 The etch rate of around 1 ?m/min is typical. Seidel et al. observed that KOH concentrations above 30% results in the formation of vertical <100> crystal planes in <100> wafers. The concentrations below 20% are also not recommended due to the formation of residues on the surface and high surface roughness. Seidel et al. reported the formation of white residue after etching in 15%W solution. Figure 2.4.2 shows optical microscopy picture of a sample etched in 20%W, 80 ?C KOH, for 8 h. The etch was performed in a reflux condenser. Small misalignment in lithography has caused the formation of steps on the walls of the groove. The KOH etch rate was measured to be 0.8 ?m/min. Selectivity of <100> to <111> was measured to be fifty to one. As a result the mask (silicon nitride) undercutting was observed. The length of the undercut for 280 ?m Figure 2.4.2: Optical micrograph of the top view of the groove etched with KOH. The misalignment of the trench direction with the (110) plane causes the wall to be discontinued and have some non-ideal characteristics. 37 wide opening in the mask was 5.6 ?m. The etch recipe presented here is used in all the experiments discussed in Chapter 3. 2.5. Summary The fabrication process steps of a bottom-drive linear variable-capacitance micromotor were described in Section 2.1. The stator fabrication process, with 17 major steps, was explained. Basic unit processes, which are repeated in the micromotor fabrication process, are: (1) BCB deposition and cure, (2) reactive ion etching of BCB using appropriate etch-mask, and (3) anisotropic etching of silicon with KOH. BCB film thickness variation with spin speed, cure profiles for full and partial polymerization, and uniformity of film deposition across the wafer are discussed in Section 2.2. Reactive ion etching of BCB using O 2 and fluorine gases, together with summary of etch test results for thick BCB films (10-?m-thick) is discussed in Section 2.3. Etch characteristics of silicon with KOH solution is briefly explained in the last section. Further details are given in the appendix. As a part of micromotor fabrication process, silicon etching with KOH is performed after deposition of multiple metal and BCB layers. Feasibility of this process requires strong adhesion between metal and BCB films. Adhesion improvement and experimental results are discusses in Chapter 3. 38 3. Adhesion Improvement 3.1. Adhesion of CYCLOTENE and Metal Mask The importance of the adhesion between (1) the metal etch mask for masking the KOH solution during the silicon etch and, (2) the BCB low-k film used to minimize the stray capacitive losses in the micromotor, was explained briefly in Section 1.4. Figure 3.1.1 shows the concept behind integrating these two elements. The purpose of this research is to integrate the fabrication process of the low-k polymer (BCB) with the most commonly used wet silicon bulk micromachining technique: anisotropic etching of silicon with KOH. The BCB deposition process was discussed in Section 2.2 and etch characteristics of KOH were discussed in Section 2.4. The critical issue is to deposit a KOH etch-mask on top of the BCB film that can protect the BCB film during the long etching process. Since KOH solution is extremely corrosive, the adhesion between the etch mask and BCB film is very critical in this process. If the adhesion of the mask film is lost during the etch process, etching or delamination of the BCB film can occur. Figure 3.1.1: Cross section of a test structure composed of (1) patterned metal etch mask, (2) BCB film, and (3) silicon nitride on silicon substrate. Silicon Silicon nitride BCB Au/Cr mask Silicon nitride 39 3.2. Surface Treatment of CYCLOTENE 3.2.1. Literature Review One of the most commonly used techniques for improving the adhesion of metal to polymer is surface treatment of the polymer. This is done by sputtering the polymer surface, reactive ion etching (RIE), and ultra violate/ozone (UV/O 3 ) treatment. These types of surface treatments usually alter the surface chemistry and morphology of the polymer, which potentially improve the adhesion of metal to polymer. There are variety of techniques available for this modification [85, 86]. Some of them are discussed in the following section. UV/O 3 treatment is believed to increase the surface tension and slightly improve the adhesion of CYCLOTENE to underfill [87] . In this work Lou et. al. found that the large increase in the base component of surface tension is due to the oxygen element induced during UV/O 3 treatment. However, there was no correlation between thermodynamic work of adhesion and measured die shear strength. The surface tension change due to UV/O 3 treatment decayed with time after treatment. Therefore, the adhesion improvement was not significant. It is also believed that this treatment forms a near-SiO 2 composition at the surface and degrades the polymer structure [88]. It was also found that this type of treatment decreased the surface roughness by half. The thickness of the film which is rich in oxygen with low carbon and hydrogen concentration was measured to be less than 250 nm. Low energy (3-6 keV) N 2 + beams and N 2 plasma were reported to improve the adhesion of Cu to CYCLOTENE [89, 90]. Sputtering the surface of CYCLOTENE using N 2 results in the formation of N-containing groups on the surface. It was found that such 40 groups react with Cu to form Cu-N bond. N 2 plasma also improves the CYCLOTENE/SiO 2 adhesion [91]. Adhesion was reported to improve by three fold using N 2 plasma. From XPS results it was concluded that N 2 plasma removes a thin oxide layer on the CYCLOTENE surface and implants nitrogen in the form of amines which then bonds to the deposited oxide. Adhesion of evaporated Cu was improved by pre-deposition treatment of CYCLOTENE surface with Argon ion (Ar + ) sputtering [92]. Adhesion improvement is known to be due to chain fragmentation and loss of electron delocalization. The CYCLOTENE surface treated by Ar + beam reacts with evaporated Cu to form Si?O?Cu and C?Cu bonds. Similar experiments were performed for metallization of BCB with Al [93]. Tuning the sputtering process in which Ar acts as both the carrier gas and the sputtering ion improves Ti and Cu adhesion to CYCLOTENE [94]. This study revealed the effect of relatively high-energy particle (i.e. Ar + , Cu 0 , Ti 0 ) treatment of the CYCLOTENE surface on the adhesion of deposited metals. XPS results revealed that all the particles damage the surface in the same manner, limiting the ability of the Cyclotene structure to delocalize electrons. In addition, Cu 0 and Ti 0 react with the CYCLOTENE to form new chemical species; the extent of reaction being proportional to the metal reactivity. Atomic force microscopy (AFM) and transmission electron microscopy (TEM) revealed that both metals penetrate to substantial depths below the CYCLOTENE surface before diffusing out in the annealing process. Adhesion tests showed substantial increase in shear adhesion for both Cu and Ti, as well as an increase in tensile adhesion for Ti 41 alone. This increase in the tensile adhesion was shown to be due to the extensive chemical reactivity of Ti [94]. Plasma etching of CYCLOTENE with O 2 and halogen gases (Cl 2 , F 2 ) also modifies the surface [21, 76] and plays a role in adhesion improvement. Reactive ion etching (RIE) with O 2 /N 2 was also found to be useful prior to Cr and Cu evaporation [95]. From the above results one can make the conclusion that the surface treatment of the CYCLOTENE does not have a standard process. The adhesion after this treatment is not always improved. The type of gases and surface treatments used strongly depend on the type of metals employed in experiments. We have tested different techniques for adhesion improvement between Cr/Au and CYCLOTENE. The experiments performed are discussed in sections 3.2.2-6. 3.2.2. Experiment I: Surface Treatment by Plasma In order to choose the best surface treatment technique suitable for enhancing the surface of CYCLOTENE for Cr deposition, plasma etching of the film has been performed with two different gases. For this purpose samples with 1-?m-thick CYCLOTENE were prepared. The cross section of the structure is shown in Figure 3.2.2.1. Low pressure chemical vapor deposited (LPCVD) silicon nitride is deposited on both sides of a silicon wafer. This layer has a low intrinsic stress of 250-350 MPa (on silicon) and is suitable for KOH etching of silicon. The thickness of silicon nitride was measured to be 2500 ?. The silicon nitride deposition, along with measuring its thickness and stress, were performed at the Electronics Research Laboratory, at the University of 42 California-Berkeley. A layer of 1-?m-thick CYCLOTENE film was then spun on the wafers and cured at 250 ?C for 1 h in nitrogen environment inside a quartz tube in a box furnace. The curing profile was described in Section 2.2. The surface of the CYCLOTENE was then treated by reactive ion etching. As mentioned in the previous section, oxygen plasma on CYCLOTENE oxidizes the surface. This oxidized surface, which is called near-SiO 2 surface, is likely to have better adhesion to Cr, because normally Cr bonds with oxygen to form chromium oxide bonds. Several experiments were performed to verify this theory. Table 3.2.2.1 summarizes the experiments performed in this step. <100> p-type silicon 200 ?m 300 ?m BCB: 1 ?m LPCVD SiN Metal mask: 0.5 ?m Figure 3.2.2.1: Cross section schematic of desired structure. CYCLOTENE and metals (Cr/Au) were deposited. Au/Cr films were patterned, CYCLOTENE and SiN were etched by RIE, and Si was etched in KOH. CYCLOTENE layer was masked by Au during Si etch process. 43 Sample # Substrate / film#1 Gas type and plasma time, min Adhesion layer thickness, ? Au Layer thickness, ? Tape test KOH test 1 BCB - 100 5000 Fail - 2 BCB - 200 5000 Fail - 3 SiN x - 200 5000 Fail - 4 SiN x O 2 , 1 200 5000 Pass Pass > 8 h 5 BCB O 2, , 1 200 5000 Pass Fail < 2 h 6 BCB O 2, , 2 200 5000 Fail - 7 BCB Ar , 1 200 5000 Fail - The first column of the above table is the number of experiments in historical arrangement. The second column describes the types of film on which the metal was deposited. The gas types and time of plasma treatment are listed in the third column. Cr/Au films were used as KOH etch mask and adhesion layer. All metallization were performed using an e-beam evaporation system. Metal thicknesses are shown in the next two columns. The results of the tape tests are shown next. The tape tests were done by sticking scotch tape to the metal and trying to peel the metal off the wafer. This was done on multiple parts of the wafer (from the edge to the center). The KOH test was done after the tape test for those wafers that passed the tape test. Test results are listed in the last column. The samples discussed throughout this chapter were not patterned unless specifically mentioned. For such samples, one level photolithography was done using Shipley 1813 photoresist before the KOH test. Au and Cr were etched with wet etchant as Table 3.2.2.1: Summary of surface treatment experiments and test results. Cr/Au films were deposited using e-beam evaporation in all experiments. 44 described in Chapter 2. Reactive ion etching of CYCLOTENE and silicon nitride was performed afterwards. All these processes are discussed in sections 2.3 and 2.4. The first experiment (#1 in Table 3.2.2.1) is performed by deposition of CYCLOTENE and metal layers without any surface treatment. The Cr was chosen to be 100 ? thick. The thickness of the Cr which is used as an adhesion layer between Au and CYCLOTENE is important. Since the deposition was done in an e-beam tool and the deposition rate was relatively high (specific tool feature), monitoring the thickness of the Cr film was difficult. This is not the inherent characteristics of the electron beam evaporation system, but it is more the characteristics of the used tool. The high deposition rate has the disadvantage in making film more non-uniform because the system does not reach the steady state in short time (1-2 sec). On the other hand, the fast deposition results in less contamination of the film because of the short exposure of the metal atoms to the contaminants (i.e. oxygen and water) inside the vacuum chamber [96, 97]. The tape test failed on sample #1. Sample #2 was prepared with a 200-?-thick Cr layer which showed slightly stronger adhesion (observed qualitatively from the tape test), but still failed the test. In order to verify the correct deposition procedure of the Cr/Au films, the next two experiments were done without any CYCLOTENE film. The Cr and Au films were first deposited on silicon nitride (sample #3). The tape-test result was not satisfactory. Short oxygen plasma was performed on the sample # 4. This was done in a RIE chamber. The pressure, gas flow, and power were 500 mTorr, 40 sccm, and 150 W respectively. Between the metallization and surface treatment steps, sample #4 was exposed to air for at least 5 minuets. This is inevitable because the sample needs so be transported from the RIE chamber to the e-beam chamber. This includes the time that takes to vent 45 the RIE chamber and for e-beam to go under vacuum. Although the tape test showed a good result for the adhesion of the metal and silicon nitride for sample #4, the effectiveness of this method is limited by samples being exposed to air before metallization. In some types of electron beam tools the plasma etcher is integrated inside the system to prepare the surface of the sample prior to metallization. Such a system was not available at our facility for this study. To further investigate the adhesion, sample #4 was placed inside the KOH solution (20%W, 80 ?C) for 8 h and no metal peel-off was observed. To further test the adhesion, the wafer was left inside the solution at room temperature for 24 h. No metal peel-off was observed. Sample #4 showed that the oxygen plasma of the silicon nitride improved the adhesion of Cr layer. Surface cleaning of the silicon nitride, together with possible chemistry alteration at the surface (mainly in the form of oxidation) were the two main reasons for the adhesion improvement. Since the film stack (silicon nitride/Cr/Au) reported here is not of interest for this project, no further study was performed to understand the causes for their adhesion improvement. Sample #4 confirmed that the metal deposition step was not flawed. Previous experiment was then repeated for sample #5 with a 1-?m-thick CYCLOTENE film. The film stack is shown in Figure 3.2.2.1. The surface of the CYCLOTENE was treated in the same way as sample #4 with oxygen plasma. The tape-test result for this sample was satisfactory. No metal peel-off was observed in this test. The blanket film was then put inside the KOH solution (20%W, 80 ?C). Metal (Cr/Au) started to peel-off from the CYCLOTENE surface only after 2 h. The metal peel-off started from the perimeter of the wafer and expanded towards the center part. This experiment showed significant 46 adhesion improvement over previous results (samples #1-2). However, since the etch time of MEMS devices in KOH is normally longer than 2 h (refer to Section 2.2.5), the adhesion improvement is not adequate for typical silicon micromachining processes. The wafer in this experiment was not patterned. The sample was checked under microscope and it was confirmed that the peel-off was between CYCLOTENE and Cr/Au. The significant adhesion improvement observed here was also attributed to the oxidation of the CYCLOTENE surface and formation of near-SiO 2 surface which normally results in stronger adhesion with Cr due to formation of chromium oxide species at the CYCLOTENE/Cr interface. The analysis of the interface of CYCLOTENE and Cr is discussed in detail in Chapter 4. The next experiment was performed to evaluate the effect of plasma etch time on adhesion. Sample #6 was etched for 2 min with the same recipe given earlier. The tape- test results shows less adhesion strength compared to sample #5. Sample #7 was also etched with argon (Ar) instead of the oxygen and poor adhesion was observed in the tape test. The etch condition (power, flow rate, and pressure) was exactly the same as previous experiments. It was concluded the Ar plasma performed under the same conditions as oxygen plasma did not improve the adhesion. Also, extended oxygen plasma experiment did not improve the adhesion. No further analysis was undertaken to optimize the plasma etching parameters. 3.2.3. Experiment II: Adhesion Layer Adhesion of the CYCLOTENE/Cr film depends on both Cr and CYCLOTENE properties. One method to enhance the adhesion is to optimize the thickness of the adhesion layer. The alternative is to use another type of adhesion layer instead of Cr. 47 Table 3.2.3.1 summarizes the next set of experiments performed for adhesion improvement. Sample #5, which was discussed in the previous section, was the only sample that provided relatively good results. Therefore, the process optimization was based upon this sample. In the first set of experiments, the thickness of the Cr layer thickness was increased by a factor of four (compared to previous samples) to 800 ?. Two samples were prepared for this study. Sample #8, which was a monitor sample for sample #9, was prepared with a 800-?-thick Cr layer on silicon wafer. Sample #9 was prepared with extra 1-?m-thick CYCLOTENE on Si. Test results showed that the increase in Cr thickness from 200 to 800 ? deteriorated the adhesion. The result from samples #4 and #8 verified the correct method of Cr deposition (on the silicon substrate). Therefore the metal peel-off resulted from the tape test on sample #9 was mainly due to CYCLOTENE layer underneath Cr. Sample # Substrate / film #1 Gas type, plasma time, min Metal film Adhesion layer, ? Au layer, ? Tape test 8 Si O 2, , 1 Cr/ Au 800 5000 Pass 9 BCB O 2, , 1 Cr/ Au 800 5000 Fail 10 Si O 2, , 1 Ti/ Au 200 5000 Pass 11 BCB O 2, , 1 Ti/ Au 200 5000 Fail 12 BCB O 2, , 2.5 Ti/ Au 200 5000 Fail Table 3.2.3.1: Summary of adhesion layer experiments and test results. All metal depositions were performed using e-beam evaporation. 48 Titanium was used as an adhesion layer between Au and CYCLOTENE in samples 10-12. A layer of 200-?-thick Ti was deposited using e-beam evaporation on samples 10-12. Considering the summary given in the Table 3.2.3.1, Ti provided less adhesion compared to Cr. A long oxygen plasma (2.5 min) was performed on sample 12 which did not improve the adhesion. Therefore, Ti was not a better adhesion layer than Cr. No KOH test was tried in this section because all the experiments failed the tape test; the one sample that passed the tape test did not have a CYCLOTENE layer and the films were simply deposited on silicon substrate. 3.2.4. Experiment III: Gold Thickness and Annealing Gold has an insignificant etch rate in KOH solution [98]. Therefore, it is a good masking layer for KOH etching. A protective polymeric film that adheres well to other polymers is another choice of material for masking material in KOH solution. These types of polymers that are currently under development have several limitations; e.g. films can not be patterned or stripped [99]. Two experiments were performed to study the role of Au layer thickness used as KOH etch-mask. Using thin Au film (1000-? thick) has two benefits: (1) less expensive fabrication process, and (2) reduced chance of film cracking caused by stress. Samples #13-14 were prepared with 1000-?-thick Au layer (one fifth of the original thickness). Sample #13 was identical to sample #5, except for the Au thickness. Table 3.2.4.1 shows the summary of processes for samples #13-14. 49 Results from sample #13 reveal that the masking strength of the Au layer strongly depends on its thickness. KOH etching of silicon can be performed in complementary metal-oxide-semiconductor (CMOS) process after completion of the CMOS component. In such a process, Au bumping is used as KOH etch-mask with an effective thickness of approximately 0.5 ?m [100]. Au film however, is not perfectly uniform and has pin holes which reduces its (Au) masking effect against KOH solution. Therefore, it is necessary to deposit Au layers at least 0.5 ?m thick for this particular application. Sample #14 was prepared similar to sample #13, but was annealed at 300 ?C for 1 h before the tape test. This was done using standard hard cure process of CYCLOTENE (explained in Chapter 2) at a temperature of 300 ?C instead of 250 ?C in the final step. The results showed a slight improvement in the adhesion (compared to sample #13). The tape-test result for sample #14 was satisfactory; however, previously KOH etch test of sample #13 revealed that 1000-?-thick Au film was not suitable for KOH etching. While sample #14 failed the KOH test, it lasted longer than sample #13. This suggested that Sample # Substrate /film#1 Plasma time, min Cr layer, ? Au layer, ? Post deposition Tape test KOH test 13 BCB O 2, , 1 200 1000 - Pass Fail 14 BCB O 2, , 1 200 1000 Anneal Pass Fail Table 3.2.4.1: Summary of Au thickness and annealing experiments and test results. Cr/Au films were deposited using e-beam evaporation in both experiments. 50 cure management of CYCLOTENE might be an important factor for improving the adhesion. 3.2.5. Experiment IV: Cure Management of CYCLOTENE The results from experiment #5 show that the adhesion improvement was accomplished using surface treatment (O 2 plasma). However, the level of adhesion improvement was not sufficient to prevent metal from peeling off from the substrate during the KOH etching process. Sample #5 lasted for less than 2 h in the KOH. In order to improve the adhesion between CYCLOTENE and Cr/Au other techniques were investigated. In all previous experiments the CYCLOTENE film was fully cured (95- 100%) prior to surface treatment or metallization. Therefore, we investigated alternative curing techniques. A series of experiments were performed to verify the best possible method for adhesion improvement. In these experiments the process for sample #5 was considered to be the base process and results from other 13 samples were taken into consideration. The summary of new experiments is shown in Table 3.2.5.1. The CYCLOTENE film was only partially cured (75% polymerization) at 210 ?C for 40 min in the first experiment (sample #15). Since the film was partially cured, the polymerization process and cross- linking of the monomers was not complete. Therefore, it was expected that more bonds be available to adhere to metal film. Oxygen plasma was performed on the CYCLOTENE surface after soft curing the film. The metallization was done in the same fashion as before. The tape test showed unsatisfactory results. Sample #15 did not exhibit adhesion improvement. 51 In the next experiment, sample #16 was fabricated similar to sample #15 with the exception of the oxygen plasma step. The surface treatment on this sample was not performed. The tape-test result was satisfactory and the KOH test showed the film could last inside the KOH solution for less than 4 h with negligible peel-off. Sample #17 was prepared based on the results from sample #16 with only one change; a complete CYCLOTENE cure was performed after metallization on the soft- cured film. This was done at 250 ?C for 1 h. Both the tape and KOH test showed the same results as obtained for previous sample; no significant improvement was observed for sample #17. Sample # Substrate / film#1 BCB cure Surface treatment BCB cure Tape test KOH test 15 BCB Soft O 2 , 1 min - Fail - 16 BCB Soft - - Pass Fail in 4 h 17 BCB Soft - Hard Pass Fail in 3.5 h 18 BCB Soft AP 3000 Hard Pass Pass >4 h 19 BCB Soft AP 3000 Hard Pass Pass >8 h Adhesion promoter was used in the next set of experiments. AP3000 was spun on soft-cured CYCLOTENE (samples #18-19). AP3000 is a silane-base adhesion promoter from Dow Chemical. The molecular structure of the AP3000 is discussed in Chapter 4. AP3000 was developed for improving the adhesion of CYCLOTENE with inorganic Table 3.2.5.1: Summary of cure management experiments and test results. Cr/Au films with 200/5000 ? thickness were deposited using e-beam evaporation in all experiments. 52 substrates like silicon, silicon nitride, silicon dioxide, Al, Cu, and TiW [101, 102]. AP3000 is normally deposited prior to the CYCLOTENE spin. The thickness of the adhesion promoter measured by different techniques was reported to be 0.5-15 nm [101, 102]. CYCLOTENE is then deposited on a few monolayers of adhesion promoter. In the past, other types of adhesion promoters were recommended (by Dow Chemical) for CYCLOTENE, such as AP8000 and AP2500. However, AP3000 has exhibited better bonds with a variety of materials. AP3000 it is typically used before spinning and curing CYCLOTENE and not on top of the cured CYCLOTENE film. In this experiment, AP3000 was employed in non- conventional fashion. Our purpose was to test the effect of the AP3000 on the adhesion of CYCLOTENE/Cr/Au. Samples #18-19 were prepared with a slight modification. CYCLOTENE was first deposited on SiN. The standard deposition of CYCLOTENE (explained in Chapter 2) includes spinning adhesion promoter on the substrate. This was done by spinning AP3000 at 3000 rpm for 30 sec. After curing the CYCLOTENE, AP3000 was dispensed at 200 rpm and then spun at 3000 rpm for 30 sec. When AP3000 was left on top of the partially cured CYCLOTENE for 5-20 sec without spinning the wafer, it resulted in discoloration of the film. This may be due to chemical reaction between partially cured CYCLOTENE and AP3000. In order to avoid this problem, dynamic dispense of the AP3000 is recommended. After the deposition of AP3000, metal deposition using e-beam evaporation was performed. The samples were then cured at 250 ?C for 1 h. Tape tests were performed on both samples. Sample #18 was etched inside the KOH solution for 4 h and sample #19 was etched for 8 h. No metal peel-off was observed after the KOH etching. The samples were then rinsed with DI water. 53 The method developed above is summarized in Table 3.2.5.1. Several samples, similar to sample #19, were prepared; however, we were not able to repeat the process results. The reproducibility problem was mainly due to difficulties involved with the e- beam evaporation system. The e-beam system used in this study was not reliable and could not provide metal deposition with consistent properties. The success of our experiment was mainly due to the state of the interface between metal and polymer; therefore, the metallization was an important step. Condition of the chamber, purity of the target, cleanliness of the crucible (tungsten crucible for Au target, and vitreous-carbon for Cr target), and controllability of deposition rate are all important factors that change the metal properties at the interface. Different practices were implemented for addressing this problem but none were successful. To solve the reproducibility issue, sputtering of the metals was investigated instead of e-beam evaporation. These results are discussed in the next section. 3.2.6. Experiment V: Optimization of Metal Deposition Adhesion enhancement by using metal sputtering was pursued instead of e-beam evaporation. Sample #20 was prepared based on results from samples #18-19. The only difference was the method by which metal was deposited. Table 3.2.6.1 summarizes the experiments performed in this stage. Sputtering of the metal was done using an ATC 1800-V model from AJA International (North Scituate, MA). The system was a DC/RF magnetron sputtering tool with three separate targets. The chamber had a diameter of 18? (45.7 cm) and depth of 17? (43.2 cm). The wafer holder was 6? (152 mm) with rotation and heating capability. The load-lock and the main chamber are separated by manual valves. Each chamber had 54 one rough pump and one turbo pump that provide high vacuum. Most of the process control parameters e.g. pressure of the main chamber and deposition time were manual. Preparation of the wafer and chamber prior to metal deposition played an important role in this study. The wafer was dehydrated at 120-125 ?C for 10 min right before insertion of a sample into the chamber. This step reduced wafer out-gases (e.g. O 2 and H 2 O) inside the chamber. These gases play an important role on the interface of the films. The low base-pressure inside the chamber was another factor. Even at a pressure as low as 10 -6 Torr the surface of the deposited film is struck by gas atoms to form a monolayer film in a few seconds. In our setup chamber base pressure was 5?10 -8 - 2?10 -7 Torr. Deposition of Cr and Au was done in the following fashion. A 200-?-thick Cr layer was deposited at room temperature. The average deposition rate was measured to be 122.4 ?/min. The minimum and maximum deposition rate across the 4-inch wafer was measured to be 114 and 128.4 ?/min, respectively. The DC power of the gun (cathode) was 200 W with chamber deposition pressure of 5 mTorr. Ar was used as a carrier gas. The Ar flow rate was measured to be 20 sccm. Deposition time for Cr was 98 sec. Base pressure prior to the deposition was 1?10 -7 Torr. Au was deposited with the same parameters at room temperature. The average deposition rate of the Au was measured to be 487.8 ?/min with minimum and maximum rates of 481 and 495 ?/min respectively. The deposition time for a 0.5-?m-thick Au layer was 10 min and 12 sec. After metal deposition on sample #20, the wafer was cured at 250 ?C for 1 h inside a furnace in nitrogen environment. The tape-test result was satisfactory but the sample failed the KOH test. The result from this sample showed that switching from e- 55 beam evaporation deposition method to sputtering could not simply solve the problem. Sputtering parameters that result in strong adhesion between Cr and CYCLOTENE were explored next. # Subs. / film#1 BCB cure Surface treatment Metal deposit. method BCB cure Tape test KOH test 20 BCB Soft AP3000 Sputtering Hard Pass Fail 21 BCB Soft AP3000 Sputtering at 200 ?C Hard Pass Fail in 2.5 h 22 BCB Soft AP3000 Sputtering at 200 ?C (modified) Hard Pass Pass 8 h There are different methods to enhance the properties of the sputtered metal. The adjustable process parameters are: (1) carrier gas type, (2) chamber pressure, (3) gas flow rate, (4) substrate temperature, (5) power, (6) distance between target and substrate, (7) target material, (8) local gas around the substrate, (9) substrate rotation speed, and (10) substrate DC/RF bias. In a magnetron sputtering system the configuration of the magnets is also important because it changes the magnetic field and therefore the shape of the plasma. The film deposition/process properties resulting from a sputtering process are: (1) deposition rate, (2) thickness uniformity across the wafer, (3) surface roughness of the film, (4) step coverage, (5) residual stress of the film, (6) film density, (7) microstructure of the film [103], and (8) adhesion of the film to the substrate. The deposition rate is roughly proportional to the sputter yield for a given plasma energy [10]. Sputter yield is defined as the number of the atoms removed per incident Table 3.2.6.1: Summary of metal deposition experiments and their test results. Cr/Au films with 200/5000 ? thickness were deposited using DC sputtering in all experiments. 56 ion. This yield is a function of (1) bombarding species (carrier gas), (2) target material, (3) ion energy of bombarding species, (4) incident angle of the bombarding species, and (5) electric charge of the bombarding species. The sputter yield has a linear relationship with ion energy (ion with small energies only). The deposition rate is proportional to the power of the cathode. It is also inversely proportional to the square of the distance between the target and wafer. Sputtering, compared to e-beam evaporation, provides more conformal films. This is due to the isotropic deposition at higher pressures. At 100 mTorr the mean free path of the sputtered metal atoms is about 1 mm. Because of the multiple collision of the metal atom while traveling the distance between target (cathode) and wafer (anode), the atoms arrive at the wafer at a random incident angle. Therefore, better step coverage can be obtained (e-beam evaporation is performed at very low pressures, e.g. 10 -8 -10 -7 Torr in our case). It is possible to make the sputter deposition more directional to avoid key-hole void formation for Damascene or other processes [104]. The Damascene process utilizes chemical mechanical polishing to produce planer films used for high density interconnects [63]. The gas pressure is another important parameter that affects metal properties. Low gas pressure results in the creation of higher energy atoms that improve the adhesion. Additionally lower gas pressure results in less contamination and less trapped gas molecules, which ultimately provides higher density film. Low pressure deposition tends to produce compressive films, while high pressure tends to result in tensile films. The transition between tensile and compressive regions is usually very sharp. Changing the 57 gas content in Cr sputtering has been reported to enhance the adhesion and reduce the residual stress [105] but was not available in our setup. Heating the substrate can improve adhesion and reduce intrinsic stress. This technique was tested in our experiment. Sample #21 was prepared similar to sample # 20, except the deposition was performed at 200 ?C. The temperature of the wafer was ramped at a relatively high rate: 1 minute ramp to 150 ?C, 15 minute soak at 150 ?C, 60 minute ramp to 250 ?C, and 60 minute soak at 250 ?C. The purpose of this profile (figure 3.2.6.1 (a)) was to heat up the substrate to 150 ?C as fast as possible, and then slowly ramp up the temperature up to the cure temperature of CYCLOTENE while depositing the metal at 200 ?C. The wafer was heated up by a high energy lamp inside the sputtering chamber. The temperature of the wafer was controlled by a thermocouple (that replicates the temperature of the wafer) and a proportional-integral-derivative (PID) controller (model 2408 from Invensys Inc.). Using this process it was no longer necessary to cure the CYCLOTENE inside the furnace. Base pressures before metallization was 3?10 -7 Torr. Maximum and minimum pressure during heating period was 9.9?10 -6 and 4.3?10 -7 Torr, respectively. Cr and Au layers were deposited with the same parameters as before. Deposition was started at T=200 ?C and ended at T=204 ?C. The temperature was then held steady at 250 ?C for 1 h and the wafer was cooled down (for several hours) inside the vacuum chamber. The chamber pressure (after the heater was turned off) was 1?10 -7 Torr. Sample #21 passed the tape test, but did not last inside the KOH for more than 2.5 h. The temperature ramp profile of the wafer was then changed to avoid inducing thermal stress to the wafer. Sample #22 was fabricated using the enhanced deposition 58 temperature. Figure 3.2.6.1(b) shows the new temperature profile of pre-deposition, deposition, and post deposition cycles. The temperature was ramped up from room temperature (25 ?C) to 150 ?C in 15 min. The temperature ramping rate in this period was 8.3 ?C/min. The PID coefficients of the controller were set to follow the target temperature with a small error. During this period the temperature difference between the target and read temperature was less than 2 ?C. The profile was similar to the cure profile of the CYCLOTENE. Polymerization of CYCLOTENE film is known to start at around 200 ?C [106]. The wafer was soaked at 150 ?C for 15 min. This part of the profile is similar to the standard cure profile of CYCLOTENE (see Chapter 2, Figure 2.2.2). The third part of the profile is to ramp the temperature to the deposition temperature. This was chosen to be 200 ?C, which is close the soft cure temperature of CYCLOTENE. This temperature is about the temperature where CYCLOTENE starts to cure, but well below the hard cure 0 50 100 150 200 250 300 0 2040608010120140160 Time [minute] T e m p erat u r e [ C ] Figure 3.2.6.1: Temperature profiles of metal deposition and hard cure for samples #21 (a) and #22 (b). Temperature ramp time from 25 ?C to 150 ?C was increased from 1 min to 15 min in (b). Temperature was kept constant during deposition in (b). The deposition was done for 10 min at T=200?C. 0 50 100 150 200 250 300 0 50 100 150 200 Time [minute] Te m p e r a t ur e [ C ] Deposition at 200 ?C Deposition at 200 ?C (a) 59 temperature; therefore, there was a greater possibility of available bonds to adhere metal to CYCLOTENE. The temperature was then fixed at 200 ?C for 10 min (the time window for the Cr/Au deposition). The total deposition time is less than 12 min. The deposition was performed at a pressure of 5 mTorr and DC power of 200 W. The Cr target was conditioned for 10 min prior to deposition. This was done to remove the native oxide on the target and to reach the steady state temperature. The Au target was conditioned for 3 min. The base pressure (before the heater was turned on) was 8.1?10 -8 Torr. Minimum and maximum pressure with the heater on was 1?10 -7 and 8?10 -6 Torr, respectively. The pressure after the turn-off the heater was 5?10 -8 Torr. Low pressure of the chamber is necessary to avoid oxidation of the CYCLOTENE and Cr target. Full cure of the CYCLOTENE film was performed in the sputtering chamber after metallization. The temperature was ramped from 200 ?C (deposition temperature) to 250 ?C in 30 min. After the cure process (1 h at 250 ?C), the heater was turned off and the wafer was allowed to cool down inside the vacuum chamber for several hours. Since the wafer was in vacuum, cooling took place only with radiation and conduction and not by convection. The wafer was left inside the chamber for 12 h before being exposed to room environment. The tape-test result for this sample was satisfactory. The wafer was then patterned (lithography, Au wet etching, Cr wet etching, RIE of CYCLOTENE, RIE of silicon nitride) and put inside the KOH solution (80 ?C, 20%W) for 8 h. After etching, only negligible metal peel-off at the corners of the pattern was observed. The adhesion of the metal/CYCLOTENE was superb. 60 The integrity of the structure was investigated using optical microscopy and SEM. Figure 3.2.6.2 shows the top view of two structures. Figure 3.2.6.2(a) shows sample #2 and Figure 3.2.6.2(b) shows the results of extensive adhesion improvement (sample #22). The Au film had successfully protected the underlying CYCLOTENE film during the long KOH etch process. Negligible metal peel-off was observed at the corners. Figure 3.2.6.3 and 3.2.6.4 show the SEM of the cross section of the sample. The wafer was cleaved by a diamond scriber. The cross sections in the two figures illustrate different layers: silicon substrate, silicon nitride, CYCLOTENE, and metals (Cr/Au). The thickness of the silicon nitride was approximately 250 nm. A metal (Cr/Au) thickness of 20/500 nm was used. CYCLOTENE thickness was measured to be 1 ?m after deposition. (a) (b) 4 mm 4 mm Figure 3.2.6.2: Optical micrograph of a die with (a) SiN/hard-cured CYCLOTENE/Cr/Au films etched in a 20 %w, 80 ?C KOH solution. The metal layers were peeled off after 10 min exposure to KOH and the underlying CYCLOTENE layer was attacked by KOH (sample #2). (b) Negligible metal peel- off after 8 h of etching. The CYCLOTENE film was soft-cured and covered with AP3000 prior to metallization, and hard-cured afterwards (sample #22). 61 Figure 3.2.6.5 shows a digital picture of the large area of the wafer after 8 h of KOH etching. No metal peel-off was observed on test structures across the four inch wafer. The developed fabrication process discussed earlier result in a yield of almost 100%. The reproducibility of the process was examined by fabricating the second sample, prepared with exact same recipe. Similar results were obtained for that sample. Therefore the process was deemed reproducible. Figure 3.2.6.3: Scanning electron micrograph of the cross section of the etched silicon structures. Silicon nitride is hanging on silicon. Au layer has protected the underlying CYCLOTENE during 8 h KOH etching. Au surface Silicon nitride Si substrate CYCLOTENE 62 Figure 3.2.6.4: Scanning electron micrograph of the cross section of the etched silicon structure in presence of CYCLOTENE and metal mask. CYCLOTENE was protected by metal mask during 8 h KOH etching. CYCLOTENE AU SiN Si Figure 3.2.6.5: Optical picture of a 70?70 mm area on a test wafer after 8 h KOH etching. The metal (Cr/Au) was not peeled off after the long etch. The orange/yellow areas are Au and the silicon etched grooves are in black. 10 mm Silicon groove 63 3.3. Summary Successful integration of BCB polymer and silicon micromachined structures fabricated with anisotropic wet etching (KOH) was demonstrated. Au was chosen as a KOH etch-mask to protect the CYCLOTENE film during a long, high-temperature, and corrosive etch process. Adhesion of the CYCLOTENE and metal (Cr/Au) was significantly improved. The adhesion improvement is essential to preserve the integrity of the masking scheme for the development of electric micromachines. A series of experiments were performed to enhance the adhesion of Cr/Au to the CYCLOTENE film. In the first series of experiments surface treatment of the CYCLOTENE using oxygen and argon plasmas were tested. Substantial adhesion improvement was achieved by performing one minute O 2 plasma before the metallization. The metallization step was initially performed using e-beam evaporator. The adhesion improvement allowed the test structures to be etched inside the KOH solution for less than 2 h but was not sufficient for long (8 h) KOH etching. Further adhesion improvement was achieved by: (1) partial curing the CYCLOTENE film before the metallization, (2) depositing adhesion promoter at the surface of the CYCLOTENE prior to metallization, (3) metallization (sputtering) at high temperature, and (4) full curing the CYCLOTENE film after metallization. Metallization using sputtering system produced repeatable results compared to e-beam evaporation. The combination of the above parameters enhanced the adhesion drastically so that the fabrication of deep anisotropically-etched silicon structures in presence of CYCLOTENE films became possible. The test results shown previously demonstrated the integration of 200-?m-deep silicon structures with 1-?m-thick CYCLOTENE film. The process 64 described above was developed throughout a series of experiments that were discussed in detail in this chapter. In order to understand the science behind the adhesion improvement, surface and interface analysis were performed and is discussed in detail in Chapter 4. 65 4. Interface Study 4.1. Objectives To understand the role of cure management and adhesion promoter in improving the adhesion, the interface chemistry of the CYCLOTENE/Cr/Au was studied. The purpose of the study was to understand the effect of each processing parameter i.e. soft cure and hard cure of polymer on the chemistry of the interfaces and adhesion between CYCLOTENE/metal layers. A number of surface and interface analysis techniques performed in this study are shown in Figure 4.1.1. Time-of-flight secondary ion mass spectroscopy (ToF-SIMS), Auger electron spectroscopy (AES), secondary electron microscopy (SEM), and atomic force microscopy (AFM) were analytic methods used for this study. Sample preparation, instrumentation, and results of these studies are discussed in this chapter. Au: 0.5 ?m Cr: 20 nm AP3000: 0.5-5 nm BCB: 1 ?m Au/Cr interface: ToF-SIMS depth profiling Cr/BCB interface: ToF-SIMS depth profiling Au surface: AES Au surface: High lateral resolution ToF- SIMS imaging Au, Cr, and BCB surface: AFM Figure 4.1.1: Summary of interface studies performed at the surface or interface of different films. 66 Previous studies on Cr/CYCLOTENE adhesion and their interface confirmed the formation of chromium oxide at the interface of metal/polymer [107, 108]. The effect of different cure temperatures on thermal diffusion of Cr into CYCLOTENE was studied. It was reported that the Cr/CYCLOTENE interface was irregular. Cr was detected in the CYCLOTENE layer and its concentration was increased after a long annealing at 250 ?C for 17 h. Formation of CrSi 2 was confirmed. In similar study, the interface of Cu/BCB (relevant to adhesion of Cu/BCB) was studied by Schuhler et al. using XPS [109]. To identify the key sources of adhesion improvement we studied the diffusion of Cr into Au and CYCLOTENE films as well as the effects of different curing temperatures on diffusion and interface chemistry of these films. 4.2. Overview: SIMS and Auger SIMS is a unique surface analytical technique in which all elements of periodic table are detectable. The detection limit for most elements is around parts-per-million or in some cases parts-per-billion [110] . During a SIMS measurement the solid sample is placed in high vacuum and bombarded by high energy primary ions. These ions will penetrate into the solid and transfer energy to the target (sample) atoms. The bombardment of the solid with high energy particles results in the displacement of the target atoms from their original lattice positions. The collision may also result in sputtering of the target material. Figure 4.2.1 shows this phenomenon. It is believed that secondary (sputtering) ion yield of a particular element depends strongly on its chemical environment. Sputtering ion yield is defined as number of atoms removed from the target in any state per incident particle. SIMS is based on the 67 hypothesis that the secondary ion intensity of certain specie depends linearly on the concentration of that specie. A SIMS tool is physically composed of 4 major parts: 1) ion source e.g. O 2 + , Cs + , or Ar + accelerated to energy of 1-20 keV, 2) primary column, 3) ultra high vacuum chamber, and 4) mass spectrometer. Different types of mass spectrometers are used for different applications. In the ToF-SIMS system, short ion beam pulses hit the sample. When this primary beam hits the sample, secondary ions are generated. These ions (either positive or negative) are accelerated to a constant energy over a very short distance, giving them approximately the same kinetic energy. Ions enter a relatively long free path afterwards. This path is called the flight path. Light ions will pass (fly) through this path much faster Figure 4.2.1: Principle of secondary ion mass spectroscopy. Primary beam is used to sputter the surface. Positive and negative ions, atoms or molecules are sputtered from the surface. The secondary ions are detected by a mass spectrometer. Primary ions Sample Secondary ions 68 than heavy ions. The time, t, that takes the ion to reach the detector can be measured. Figure 4.2.2 shows the basic concept of the ToF spectroscopy. ?t p V L v m Assuming that the initial energy of the secondary ions do not affect the constant kinetic energy given to them, one can write: 2 2 vm VzE acKinetic == [Eq. 1] Where z is the electric charge of the ion, V ac is the voltage that makes the constant electric field, m is the mass of the ion, and v is the velocity of the ion inside the fly zone. Therefore the ratio of z m could be written as: 2 2 2 2 2 L tV v V z m acac == [Eq. 2] Where L= v t is the known length of the time of flight spectrometer (t is the time that takes the ion to reach the detector). In the above equation all of the parameters on the Figure 4.2.2: Concept of time of flight mass spectrometry used for SIMS. Sample Detector 69 right side (V ac , t, and L) are known. Therefore the z m ratio can be obtained after measuring t. 2 2 2 L tV z m ac = [Eq. 3] ToF-SIMS systems have the following characteristics: 1) simultaneous mass spectrometry, 2) high sensitivity, and 3) high mass resolution. In other types of spectrometers only one ion type can be transmitted and counted [110]. The SIMS can be performed in different ways. The main types are categorized as: 1. Surface spectrometry (mass spectrometry), 2. Depth profiling, 3. Imaging, 4. Three dimensional profiling. The first method provides the information about the different masses on the sample with their relative intensities. The second method is a dynamic analysis which extra ion gun is used to sputter the surface and remove small layer of the sample. Depth profiling of dopant concentration (like Boron) in semiconductors is one of the many applications of this method. The third method is a high lateral resolution SIMS that provides the information about the chemistry of the surface (not depth). This is done by scanning the small area of the sample surface using a very narrow ion beam. The last method is a combination of the second and third method to produce a 3-D profile. The types of SIMS used and discussed in this study are ToF-SIMS depth profiling and imaging. Depth profiling is a dynamic measurement that includes sputtering/etching of the sample by ions while doing the data acquisition. The secondary ions are collected 70 from different depths of the sample and the depth profiles are obtained. This method results in obtaining the intensity (concentration) of the specific species versus the sputtering depth, time, or cycle. Positively or negatively-charged ions would charge up dielectric samples. In cases where positive ions like Cs + are used as primary beam, surface charging of insulators might become problematic. This positive charging can be compensated by simultaneous bombardment with an electron beam. It is worth mentioning that SIMS is a destructive method. Auger electron spectroscopy (AES) is another surface/interface analysis technique used here. When a solid is hit by an electron beam, atoms of the solid may get ionized. An incident electron beam excites atoms on a solid surface, generating holes. These electron vacancies can be filled by an outer electron and the difference in energy can be released to a third electron (an Auger electron) or an x-ray photon (the x-ray is used in other techniques) [111]. Basic concept of the Auger is shown in Figure 4.2.3. If the target atom is very near to surface, the Auger electrons can escape the solid and the energy can be analyzed and counted to produce a spectrum showing the number of emitted electrons as a function of energy. Elemental identification is made from the energy positions of the Auger peaks. In some circumstances, it is also possible to derive chemical information from the peak position and shape. The intensity of an Auger peak can be related to the amount of the element present in the analyzed volume using appropriate sensitivity corrections. In the study of thin films, AES could be used to detect certain elements at different depths; however, if depth resolution is deteriorated due to 71 sputter-induced effects, the interface peak becomes broadened and the peak lowers towards the noise level, therefore, sensitivity towards the target specie decreases [112]. 4.3. Sample Preparation We correlated the adhesion improvement obtained experimentally with the diffusion and chemistry change at the interface due to the cure management of CYCLOTENE and use of AP3000 adhesion promoter. Samples were prepared on 100- mm-diameter, 550-?m-thick silicon wafers. Table 4.3.1 shows the summary of interface study samples fabricated for this purpose. The thickness of CYCLOTENE film in these samples was measured to be 1 ?m. The soft and hard curing were done at 210 ?C for 40 min, and 250 ?C for 1 h, respectively. Cr (200 ?) and Au (0.5 ?m) were deposited using e-beam evaporation with thicknesses chosen based on the fabrication process explained in Chapter 3. (4) Auger electron is exited due to the difference in the energy level of two electrons shown in red and yellow (1) Incident electron (2) Inner shell electron exited by incident electron (3) An outer electron (from 2S) fills the vacant place of the exited electron (1S) 1S 2S 2P Figure 4.2.3: Basic concept of the Auger electron spectroscopy is demonstrated in 4 steps. 72 4.4. Chromium/Gold Interface ToF-SIMS was used to investigate the Cr diffusion into Au film after Au deposition and cure at 250 ?C for 1 h. This was performed using a 5-keV O 2 + sputtering beam together with a 25-keV Ga + primary beam for data acquisition. To minimize the resolution loss by crater edge effects, the ratio of areaAnalyzed areaSputtered was set to be 1 10 . The mass resolution ? ? ? ? ? ? ?m m was higher than 4500 at 28 Si. Figure 4.4.1 shows the depth profiles of samples I and II. The profiles are given for Au, Cr, and Si in these plots. Figure 4.4.1(a) shows that Cr diffusion into the Au layer, as deposited, was negligible. However, the Cr signal intensity, as shown in Figure 4.4.1(b), increases by three orders of magnitude after cure. Sample number Deposited films and process details I Cr/Au II Cr/Au, cured at 250 ?C III CYCLOTENE (soft cured)/Cr IV CYCLOTENE (soft cured)/AP3000/Cr V CYCLOTENE (soft cured)/Cr, cured at 250 ?C VI CYCLOTENE (soft cured)/AP3000/Cr, cured at 250 ?C VII CYCLOTENE (soft cured)/Cr/Au VIII CYCLOTENE (soft cured)/Cr/Au, cured at 250 ?C IX CYCLOTENE (soft cured)/AP3000/Cr/Au, cured at 250 ?C Table 4.3.1: Interface study samples 73 The quantitative value for Cr concentration at the Au film was obtained using AES. Depth profiling was performed using a 3-keV Ar + sputtering beam together with a 3-keV electron beam for data acquisition. Figure 4.4.2 shows the AES depth profiles for these samples. From these results, Cr concentration at the Au layer was estimated to be about an average of one atomic percent which was calculated using tabulated sensitivity factors. To investigate the lateral distribution of Cr inside the Au layer, high lateral resolution ToF-SIMS images were acquired on sample II. This was done using a finely focused 25-keV Ga + beam. The mass resolution of this method was about 500 at 28 Si and the lateral resolution was about 0.2 ?m. The analysis was done after mild sputtering of the surface for 1 min to remove surface contamination (the complete removal of the film required 30 min of sputtering). The analysis was undertaken after exploiting various analytical approaches to maximize signal intensity. Simultaneous detection of Cr and Au ion was biased by conflicting ion yields. Cr could be detected as Cr + , while Au could be detected as Au - [113]. Sputtering with O 2 + and detecting negative signals allows simultaneous detection of Cr and Au in the oxide form of MO x - with averaged efficiency. The lateral distribution maps of CrO 4 - and AuO 2 , the superposition of these signals, ? ? 4 2 CrO AuO ratio, and ? ? 2 4 AuO CrO ratio are shown in the five images of Figure 4.4.3. In this figure black shows the minimum concentration and white (yellowish) color shows the maximum concentration of one specific ion in the images. It was found that Cr diffusion (after curing) into Au layer was not homogeneous. Chromium-enriched grains of 2 ?m or smaller were detected close to pure Au grains. 74 Figure 4.4.1: ToF-SIMS depth profiles of samples I and II. (a) Shows insignificant Cr concentration at the Au surface of sample I. (b) Shows three orders of magnitude Cr signal intensity increase after curing at 250 ?C for 1 h for sample II. Curing has caused the Cr diffusion into Au (sputtering cycles start at the Au surface) 0 5 10 15 20 10 0 10 1 10 2 10 3 10 4 10 5 i n t ensi t y [ c oun ts ] cycle Si Cr Au 0 5 10 15 20 25 30 10 0 10 1 10 2 10 3 10 4 10 5 in te nsity [coun ts] cycle Si Cr Au (a) (b) Figure 4.4.2: AES depth profiles of samples I (a) and II (b) show broader Cr distribution for sample II. Concentration of Cr in the Au layer was also higher in figure (b). AES was performed to quantify the Cr concentration at Au surface. Cr concentration was found to be 1 atomic percent at the Au surface (sputtering cycles start at the Au surface). 0 10203040506070 0 20 40 60 80 100 Rel . at . conc. ( % ) Sputtering time (min) Au Cr C Si 0 10203040506070 0 20 40 60 80 100 Rel. at. conc . (%) Sputtering time (min) Au Cr C Si Fig 4 (a) (b) Au surface Au Surface 75 The diffusion of Cr into Au could deteriorate the masking strength of Au against KOH solution which ultimately may cause the formation of pin holes in the Au film during the KOH etching process. However, the Cr grains inside the Au layer were irregular and had a small concentration. It is expected that the Cr diffusion will have an insignificant effect on the masking strength of the Au. This argument is supported by the experimental results discussed in Chapter 3, however, Cr diffusion is temperature and time dependent; further curing of the films (above 250 ?C for 1 h) may deteriorate the Au masking function. 4.5. Chromium/CYCLOTENE Interface The second part of the study concentrates on the effect of adhesion promoter at the Cr/CYCLOTENE interface as well as the effect of curing before and after metallization. As mentioned in Chapter 3, it was found that covering the partially cured CYCLOTENE with AP3000 prior to metallization, followed by full curing of the film drastically improves the adhesion. Previous studies did not provide a clear picture of the (a) (b) (c) (d) (e) Figure 4.4.3: High resolution ToF-SIMS imaging show lateral distribution of Cr and Au ions of sample II. From left to right: a) Cr (116: CrO 4 - ), b) Au (229: AuO 2 - ), c) superposition of the first two (CrO 4 - in red, AuO 2 - in cyan), d) AuO 2 - / CrO 4 - ratio, and e) CrO 4 - /AuO 2 - ratio. The field of view is 15?15 ?m 2 and lateral resolution is about 0.2 ?m. Chromium-enriched grains of 2 ?m or smaller are visible in Figure (a). Cr diffusion into Au layer was not homogeneous (figures (a)-(e)). 76 role of AP3000 adhesion promoter at the interface of CYCLOTENE and other inorganic materials [59, 101]; however, it was experimentally proven that using AP3000 at the interface of CYCLOTENE and other inorganic materials such as silicon, silicon nitride, Al, Cu, and TiW significantly improved the adhesion of this polymer [101, 102]. Diffusion of metals into polymers, in general, are well known phenomenon [114]. The molecular structure of CYCLOTENE [115] and AP3000 [116] are shown in Figure 4.5.1. The thickness of the adhesion promoter measured by different techniques was reported to be approximately 15 nm [101] and 0.5-5 nm [102]. The small thickness of this film, together with the fact that the same elements were present in the molecular structure of CYCLOTENE and AP3000, made the interface study challenging. Samples III, IV, V, and VI, listed in Table 4.3.1, were fabricated for this purpose and analyzed by ToF-SIMS. Due to the similarity in chemical structures of CYCLOTENE and AP3000, the markers of AP3000 on CYCLOTENE were not noticeable; however, they could be detected by comparing the signal intensity of different species of samples III and IV. The results from positive ToF-SIMS, performed using a Figure 4.5.1: Molecular structure of (a) CYCLOTENE monomer, (b) AP3000 (Vinyl-triacetoxy-silane) adhesion promoter. (a) (b) 77 low energy Ar + sputtering beam are shown in Figure 4.5.2. The two samples were identical except that AP3000 was deposited on sample IV prior to metallization. It is seen in depth profiles of Figure 4.5.2 that the intensity of specific species (CrSiO, CrO, Si, SiH, and SiO) in the Cr layer was increased on average by 2-6 times in sample IV when compared to III. The increased intensity in these signals was attributed to existence of AP3000. The irregular Cr profile on both samples was due to higher oxygen concentration at the Cr surface and the interface for both samples. Signal intensity of Cr was biased by oxygen in this method. The higher the oxygen concentration, the higher the probability of the positive ion formation, and therefore, the higher the Cr signal intensity will be. From both graphs it is observed that oxygen concentration was higher at the Cr surface (Cr oxidized at the surface). The intensity of Si signal was also not independent from oxygen concentration. That explains higher Si concentration at the surface for samples III and IV. The Cr profile shows variations that are not related to an actual change in the material stoichiometry; however, the fragments related to AP3000 were detected from these profiles (Figure 4.5.2). In summary, the following observations were made from Figure 4.5.2: ? The intensity of specific species was 2-6 times higher on sample IV compared to III. These are: CrSiO, CrO, SiH, and SiO. ? Cr signal intensity was biased by matrix chemistry and oxygen content. The higher the oxygen, the higher the probability of the positive ion formation and therefore the higher the signal intensity will be. ? The strange Cr profiles on both samples were due to the high oxygen content at the Cr surface and at the interface of both samples. 78 1 10 100 1000 10000 100000 0 5 10 15 20 25 30 35 Cr Si SiH SiO Si2O CrO CrSiO Depth [nm] (a) Intens it y [ counts ] 1 10 100 1000 10000 100000 0 5 10 15 20 25 30 35 Cr Si SiH SiO Si2O CrO CrSiO Figure 4.5.2: Positive ToF-SIMS profile of (a) sample III, and (b) sample IV. The profile of Cr, Si, CrSiO, CrO, and SiH are shown. Adhesion promoter track marks were detected. Intens it y [ counts ] Depth [nm] (b) 79 ? The intensity of Si signal was not independent from the oxygen concentration, which explains the higher Si concentration at the surface. However, this argument could not be applied to Si concentration at the interface because the concentration of Si in AP3000 is different from CYCLOTENE. In order to address the oxygen sensitivity issue, positive ToF-SIMS by Ar + sputtering, together with O 2 flooding of the sample, was performed. This is a well-known procedure in SIMS. This technique minimizes the signal intensity variations by eliminating the dependability of the Cr signal on oxygen concentration. Figures 4.5.3 shows the depth profiles of different species including Si, SiO, SiH, and SiOH obtained using this method for samples III-VI. Figure 4.5.3(a) shows depth profiles of samples III and IV, and Figure 4.5.3(b) shows depth profiles of samples V and VI. The signal intensity of Cr was the same for all four profiles. This allowed us to compare the intensity of other species (e.g. Si) from different profiles to evaluate the stoichiometry of the interface. The results obtained by this method were in good agreement with previous results. The Cr signal intensity was the same for all the profiles and the sensitivity was 20 times higher than previous results shown in Figure 4.5.2. The Si signal intensity (from CYCLOTENE or AP3000 backbone) inside the Cr layer was 10 counts/cycle in samples III and IV, 40 counts/cycle in sample V, and 100 counts/cycle in sample VI. This showed that curing has caused the diffusion of Si into the Cr layer. Furthermore, AP3000 enhances the diffusion of Si into Cr (Figure 4.5.3(b)). It is believed that Si diffusion into Cr, due to the cure and use of AP3000, was one of the contributing factors for improving the adhesion. From the profiles of Figure 80 4.5.3(b), it is seen that along with Si, the intensity of SiH, SiO, and SiOH signals had also increased inside the Cr layer. Figure 4.5.4 shows the negative ToF-SIMS depth profiles performed with a 3-keV Cs + sputtering beam. Figure 4.5.4(a) shows depth profiles of samples III and IV, and Figure 4.5.4(b) shows depth profiles of V and VI. Depth profiles of Si, SiO 2 , CrC, carbon (C), CrO, and CrO 2 are shown in these two graphs. Concentration of C was very similar on samples III and IV, but increased on sample V, and was even higher on sample VI. Diffusion of C into the Cr layer was significantly increased after curing at 250 ?C for 1 h in sample VI. This suggests that the use of either AP3000 or the cure by itself does not significantly enhance the diffusion of C. However, it is the combination of these two factors that enhance the diffusion. Diffusion of C into Cr was therefore another reason for adhesion improvement. Partial cross-linking of CYCLOTENE during soft cure and before metallization facilitated the diffusion of Si and C atoms into Cr layer after curing at 250 ?C for 1 h. Figure 4.5.4 shows that from 10 nm beneath the Cr surface, signal intensity of chromium oxide species (CrO - and CrO 2 - ) increased towards the Cr surface and the interface. The increased intensity of CrO - and CrO 2 - at the interface confirms the previous results showed in Figure 4.5.3 for the Cr oxidation. Intensity of CrO - , CrO 2 - , and SiO 2 was increased near the surface after curing. This shows a chemical reaction of CYCLOTENE or AP3000 with the Cr layer. It is believed that the oxidation process was a contributing factor to the adhesion improvement between Cr and CYCLOTENE due to curing of the film. These interface analysis results (shown in figures 4.5.2-4) provided valuable information on the role of AP3000 at the metal/polymer interface as well as the effect of soft cure (before metallization) and hard cure (after metallization). 81 Figure 4.5.3 Positive ToF-SIMS depth profiles with Ar + sputtering together with O 2 flooding of (a) samples III (unfilled graph) and IV (filled graph), (b) samples V (unfilled graph) and VI (filled graph). Diffusion of Si into Cr layer after hard curing is enhanced by AP3000. 0 5 10 15 20 25 30 35 40 10 0 10 1 10 2 10 3 10 4 10 5 10 6 inten s ity [counts] depth [nm] Si SiH SiO SiOH Cr (b) 0 5 10 15 20 25 30 35 40 10 0 10 1 10 2 10 3 10 4 10 5 10 6 intensity [ c ounts] depth [nm] Si SiH SiO SiOH Cr (a) 82 (a) 0 5 10 15 20 25 30 35 40 10 0 10 1 10 2 10 3 10 4 10 5 10 6 int ensit y [ c ount s] depth [nm] C Si SiO2 CrC CrO CrO2 0 5 10 15 20 25 30 35 40 10 0 10 1 10 2 10 3 10 4 10 5 10 6 int ensit y [ c ount s] depth [nm] C Si SiO2 CrC CrO CrO2 (b) Figure 4.5.4: Negative ToF-SIMS depth profiles with Cs + sputtering of (a) samples III (unfilled graph) and IV (filled graph), (b) samples V (unfilled graph) and VI (filled graph). Cr is oxidized at the interface and diffusion of C into Cr layer after hard curing is enhanced by using AP3000. 83 Adhesion improvement can also be correlated to the morphology of surfaces involved in the adhesion. It was shown in previous section that a Cr/Au alloy forms during Cr diffusion into Au. Formation of the Cr/Au alloy on CYCLOTENE was confirmed by atomic force microscopy (AFM) on sample II, IV, and IX. AFM images of these samples are shown in Figure 4.5.5. Sample IV with thin Au layer was not exposed to 250 ?C and had relatively smooth surface. The surface of the CYCLOTENE is shown in Figure 4.5.5(a) after wet etching of Au/Cr. The peak surface roughness was about 50 nm. A much rougher CYCLOTENE surface was observed from sample IX, which went through the hard cure process (Figure 4.5.5(b) and (c)). The morphology of the surface was also changed. Cobweb-like structure was seen on the surface of the Cr (Figure 4.5.5(b)) and the surface of the CYCLOTENE (Figure 4.5.5(c)). The reaction of Cr with polymer reported in this study was not unique and was previously reported for Cr/polyimide [117]. Intermixing and cluster formation at the metal/polymer interface was also reported previously [118]. The SEMs taken from samples II, III, and IX showed the same phenomena. Figure 4.5.6(a) shows the Cr surface of sample II after wet etching of Au. Figure 4.5.6(b) shows CYCLOTENE surface of sample III after Cr wet etch. The surface of sample IX is shown after Au etching and Cr/Au etching in figures 4.5.6(c) and (d), respectively. The increase in surface roughness can have several sources. The Cr/Au alloy formation could be one of them. The increase in the surface roughness could also be due to the wet etching process. The etch characteristics of Cr/Au alloy in wet etchant solutions is different from Cr or Au. Therefore increase in surface roughness, can not be correlated to one phenomenon. If the increase in the surface roughness was not due to the 84 wet etching process, this could be another contributing factor to the adhesion improvement by providing a mechanical inter-locking between the polymer and metal. (a) Relatively smooth surface of CYCLOTENE (partially cured) after wet etching of Au and Cr of sample IV with a thin Au layer. (Height range: 0-60 nm) Figure 4.5.5: Atomic force microscopy (AFM) of the surface of samples a) IV, b) IX, c) IX, and d) II. Image area in all four figures was 10 ?10 ?m. (b) Cobweb-like structure on Cr surface after Au wet etching of sample IX. (Height range: 0-300 nm) (c) Cobweb-like structure on CYCLOTENE surface after wet etching of Au and Cr of sample IX. (Height range: 40-90 nm) (d) Rough surface of silicon after wet etching of Au and Cr of sample II. (Height range: 165-205 nm) 85 Figure 4.5.6: SEM of the surface of samples a) II, b) III, c) IX, and d) IX shows the surface morphology of different film stacks. Formation of Cr/Au alloy was one of the reasons for the surface roughening. b) BCB surface of sample III after wet etching of Cr d) BCB surface of sample IX after wet etching of Au and Cr a) Cr surface of sample II after wet etching of Au c) Cr surface of sample IX After wet etching of Au 86 4.6. Summary The Cr concentration at the Au layer was estimated from AES results to be an average of 1 atomic percent. This calculation was done using tabulated sensitivity factors. High lateral resolution ToF-SIMS imaging showed that the Cr diffusion (after curing) into Au layer was not homogeneous. Chromium-enriched grains of 2 ?m or smaller were detected close to pure Au grains. Small diffusion of Cr into the Au layer had insignificant effect on the masking strength of the Au against KOH solution. Surface morphology of the CYCLOTENE, Cr, and Au films were studied using AFM and SEM. It was found that curing the CYCLOTENE film at 250 ?C after metallization together with use of adhesion promoter on partially cured CYCLOTENE before metallization resulted in diffusion of Si and C from CYCLOTENE or AP3000 (or both) into the Cr layer. Chemical interaction of CYCLOTENE and Cr at the interface, mainly in the form of Cr oxidation, was also observed. The above phenomena were correlated to the adhesion improvement. 87 5. CONSLUSION 5.1. Summary A new fabrication process for integration of CYCLOTENE and wet etching of silicon using KOH was developed. This allows fabrication of highly-efficient MEMS micromachines (micromotor or microgenerator) using CYCLOTENE as a low-k dielectric material. The advantages of using a low-k dielectric, with excellent mechanical and electrical properties, make CYCLOTENE attractive for microelectromechanical devices. The advantages of CYCLOTENE as a dielectric material for MEMS applications are: (1) low dielectric constant (k= 2.65), (2) easy deposition process (spin-on), (3) high level of planarization, (4) high solvent resistant, (5) low curing temperature (250 ?C), (6) low residual stress (28 Mpa on silicon), (7) no outgasing during cure, and (8) ability to be deposited in thick layers. The disadvantage of this dielectric film is a low glass temperature of 350 ?C. CYCLOTENE, like other organic materials, has poor interfacial fracture resistance (adhesion) to inorganic materials. Anisotropic etching of silicon with KOH is performed in a very corrosive environment at high temperatures for a few hours. Therefore, it is essential to protect the CYCLOTENE film during this process with an etch mask. In this thesis it was shown that fabrication of deep silicon etched structures together with CYCLOTENE dielectric films can be preformed using appropriate metal 88 etch masks (Au/Cr) with a modified process flow to enhance the metal/CYCLOTENE adhesion. A series of experiments were performed to modify the fabrication process such that the adhesion between metal and CYCLOTENE becomes strong. Adhesion improvement of CYCLOTENE and Cr/Au mask was accomplished by partial cure of CYCLOTENE prior to metallization, sputtering of the Cr/Au metal masks at 200 ?C, and full curing at 250 ?C. An adhesion promoter, AP3000, was proven to enhance the adhesion of these films if applied prior to metallization. Metal/CYCLOTENE adhesion was tested to be very strong. Adhesion strength was experimentally verified in qualitative manner. Deep structures (200 ?m) in silicon were fabricated while the CYCLOTENE film was protected by metal mask. Long exposure to KOH solution (8 h) had little or no effect on the adhesion of polymer- metal. The process was repeatable, giving the same set of results. In order to understand the effect of soft cure and adhesion promoter prior to metallization and hard cure after metallization, the metal/CYCLOTENE interface was studied. Different surface/interface techniques were used. Time-of-flight secondary ion mass spectroscopy (ToF-SIMS), Auger electron spectroscopy (AES), secondary electron spectroscopy (SEM), and atomic force microscopy (AFM) were the methods exercised along with 12 samples fabricated with different stacks of films for this study. High lateral resolution ToF-SIMS imaging provided useful information about the surface of the Au and Cr (grain sizes) inside the Au layer. These images showed that the Cr diffusion (after curing) into Au layer was not homogeneous. Chromium-enriched grains of 2 ?m or smaller were detected close to pure Au grains. The masking strength of 89 the Au layer (against KOH) was not deteriorated by Cr diffusion. AES was used to quantify the atomic concentration of Cr diffused into Au. The Cr concentration at the Au layer was estimated to be about an average 1 atomic percent. Morphology of the CYCLOTENE, Cr, and Au surfaces and the effect of hard cure on their roughness were studied using AFM and SEM. ToF-SIMS depth profiling was used for studying the interface of Au/Cr/AP3000/CYCLOTENE. Concentration of different species at different depths from the surface of the wafer was measured. It was found that curing at 250 ?C, together with use of adhesion promoter on partially cured CYCLOTENE results in diffusion of Si and C from the CYCLOTENE or AP3000 into the Cr layer. Use of cure management or adhesion promoter alone did not result in adhesion improvement. Chemical interaction of CYCLOTENE and Cr at the interface, mainly in the form of oxidation of Cr, was also observed. Diffusion of Si and C from CYCLOTENE or AP3000 into the Cr layer together with the formation of chromium-oxide at the Cr/CYCLOTENE interface were correlated to the adhesion improvement between CYCLOTENE and Cr/Au films [119]. 5.2. Future Work The efficiency of electrostatic micromachines partially depends on the electrical loss in the parasitic capacitors formed between the active elements of the device (metal electrodes) and the substrate. In order to minimize this parasitic loss, small parasitic capacitance is required. The capacitance is a function of the thickness of the dielectric material on the substrate. The higher the thickness of the dielectric layer, the lower the parasitic capacitance will be. Therefore, it is desired to have a very thick dielectric layer 90 on the substrate. Fabrication of deep etched grooves in silicon with thicker layers of CYCLOTENE is under investigation. The desired CYCLOTENE thickness is 20-30 ?m. The future work will address the integration of very thick CYCLOTENE film with deep etched structures in the silicon. Due to the large thickness of the CYCLOTENE, KOH solution undercuts the film, etching the CYCLOTENE and causing the metal to be peeled off from the CYCLOTENE. This problem needs to be addressed by modifying the process flow such as metal deposition temperature and metal coverage of CYCLOTENE layer. Preliminary results obtained for 10-?m-thick CYCLOTENE film showed that similar masking design was feasible provided that the CYCLOTENE film walls (10-?m- thick) were not exposed to KOH solution. A sample with modified metal deposition step was fabricated and tested in KOH solution. The sample underwent 3 h of KOH etching without metal peel-off. The robust and complete results require further study and testing. The process discussed here is used for fabrication of micromotors and microgenerators but can potentially be used for other microelectromechanical devices. In this study, Au was used as an etch mask due to its insignificant etch rate in KOH solution. Some other silicon etchants used in bulk micromachining like tetra methyl ammoniumhydroxide, ethylene diamine pyrochatechol, and xenon diflouride are also gold selective. Therefore, the developed process can potentially be used in fabricating other microelectromechanical devices that require wet etching in presence of BCB films using a variety of etchants. An example of such a device is a temperature (or humidity) sensor that utilizes: (1) BCB as a thermal isolator/passivation layer, and (2) wet etching of the substrate to release a membrane or remove a film. The developed etch-mask scheme can be used to protect the BCB film during the wet etching process. 91 APPENDIX: ANISOTROPIC ETCHING OF SILICON BY POTASSIUM HYDROXIDE Anisotropic etching of silicon by potassium hydroxide (KOH) is the most common wet anisotropic etch technique. Several chemical models are proposed for explaining the reasons for the anisotropic etching of silicon. Seidel et al. propose the a model for this etching [50, 51]. Different silicon properties have been correlated to the anisotropic etching. {100} silicon planes have the highest density of atoms per square centimeter to the etchant and the atoms are oriented such that three bonds are below the plane. These three bonds become chemically masked by OH ions or oxygen bonds at the surface. The available bonding density of different surfaces in diamond crystals follows the sequence of 1:0.71:0.58 for the {100}:{110}:{111} planes. The {111} planes also get oxidized faster; therefore, they are masked against the solution. Seidel et al.?s model correlates the different etch rates to the activation energy of different surfaces [50]. After immersion of the silicon crystal into the KOH solution, a negative excess charge on the silicon surface builds up due to the higher original Fermi level of the H 2 O/OH ? couple as compared to the solid. This leads to a downward bending of the energy band on the solid surface, both for p-type and n-type silicon. The overall reaction of the KOH etching is described as: Si + 2OH ? + 2H 2 O ? Si(OH) 2 2? + 2H 2 [Eq. 1] The above reaction is a result of a couple of steps. First the silicon is oxidized, and 4 electrons are injected from a silicon atom into conduction band, Si + 2OH ? ? Si(OH) 2 2+ + 4e ? [Eq. 2] 92 In the above step, a silicon atom is removed from the surface through the reaction of 4 hydroxide ions forming Si(OH) 4 which is converted into Si(OH) 2 2? due to the high pH environment. This step is accompanied by the injection of 4 electrons into the conduction band, originating from reacting hydroxide ions [50]. The 4 electrons injected into the conduction band stay localized near the silicon surface due to potential well provided by downward bending of the energy bands. The recombination of electrons in this space charge layer is very low for silicon wafers with dopant (donor or acceptor) concentration of 10 19 #/cm 3 or less. At the same time the water gets the excess electron, 4H 2 O + 4e ? ? 4OH ? + 2H 2 [Eq. 3] The silicon in the form of Si(OH) 2 2+ reacts with 4OH ? and becomes soluble in water, Si(OH) 2 2+ +4OH ? ? Si(OH) 2 2? +2H 2 [Eq. 4] The equations mentioned above are all made of several equations and summarized in one (Eq. 1). For <100> silicon, the etch rate of {110} plane is faster than {100}. These two rates are much faster (? 400 times) than the etch rate of {111}. The etch rate of the silicon in KOH solution can be found by the approximate formula suggested by Seidel et al.: kT E eKOHOHkR 0 4 1 4 20 ][][ ? = [Eq. 5] In the above formula T is the solution temperature, k 0 is a constant value of 2460 ?m/h.(mol/l) ?4.25 , and E 0 is 0.595 eV for etching {100} plane. These two constants have 93 a different value for {110} plane: k 0 = 4500 ?m/h.(mol/l) ?4.25 , and E 0 = 0.60 eV. [H 2 O] and [KOH] are the relative concentration of water and KOH (by weight) to the solution. For the KOH solution of 20%W and temperature of 80 ?C the etch rate of {100} and {110} planes are calculated to be 86 and 133 ?m/h, respectively. 94 Reference [1] S. D. Senturia, Microsystem design. Boston: Kluwer Academic Publishers, 2001. [2] J. M. Bustillo, R. T. Howe, and R. S. Muller, "Surface micromachining for microelectromechanical systems," Proceedings of the IEEE, vol. 86, pp. 1552- 1574, 1998. [3] G. T. A. Kovacs, N. I. Maluf, and K. E. Petersen, "Bulk micromachining of silicon," Proceedings of the IEEE, vol. 86, pp. 1536-1551, 1998. [4] L.-S. Fan, Y.-C. Tai, and R. S. Muller, "IC-processed electrostatic micro-motors," presented at IEEE International Electronic Devices Meeting, San Francisco, CA, 1988. [5] Y.-C. Tai, L.-S. Fan, and R. S. Muller, "IC-processed micro-motors: Design, technology, and testing," presented at IEEE Micro Electro Mechanical Systems, Salt Lake City, UT, USA, 1989. [6] S. F. Bart, "Modeling and design of electroquasistatic microactuators ." in Ph.D. Thesis: Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1990. [7] M. Mehregany, K. J. Gebriel, and W. S. N. Trimmer, "Integrated fabrication of polysilicon mechanisms," IEEE Transactions on Electron Devices, vol. 35, pp. 719-723, 1988. [8] M. Mehregany, S. F. Bart, L. S. Tavrow, J. H. Lang, and S. D. Senturia, "Principles in design and microfabrication of variable-capacitance side-drive motors," Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 8, pp. 3614-3624, 1990. [9] M. Mehregany, "Microfabricated silicon electric mechanisms," in Ph.D. Thesis. Cambridge, MA: Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1990. [10] M. J. Madou, Fundamentals of microfabrication the science of miniaturization, 2nd ed. Boca Raton: CRC Press, 2002. 95 [11] T. Yuan and H. N. Tak, Fundamentals of Modern VLSI Devices: Cambridge University Press, 1998. [12] K.-S. Chen, J.-Y. Chen, and S.-Y. Lin, "Fracture analysis of thick plasma- enhanced chemical vapor deposited oxide films for improving the structural integrity of power MEMS," Journal of Micromechanics and Microengineering, vol. 12, pp. 714-722, 2002. [13] X. Zhang, K.-S. Chen, R. Ghodssi, A. A. Ayon, and S. M. Spearing, "Residual stress and fracture in thick tetraethylorthosilicate (TEOS) and silane-based PECVD oxide films," Sensors and Actuators A (Physical), vol. A91, pp. 373-380, 2001. [14] X. Zhang, R. Ghodssi, K.-S. Chen, A. A. Ayon, and S. M. Spearing, "Residual stress characterization of thick PECVD TEOS film for power MEMS applications," presented at Solid-State Sensor and Actuator Workshop, Hilton Head Island, SC, USA, 2000. [15] X. Zhang, K.-S. Chen, and S. M. Spearing, "Thermo-mechanical behavior of thick PECVD oxide films for power MEMS applications," Sensors and Actuators A (Physical), vol. A103, pp. 263-270, 2003. [16] A. Modafe, N. Ghalichechian, and R. Ghodssi, "BCB-Based Linear Micromotor Supported on Microball Bearings: Design Concepts, Characterization, and Fabrication Development," presented at American Vacuum Society 51st International Symposium, Anaheim, CA, October 24-28, 2004. [17] R. A. Kirchhoff and K. J. Bruza, "Benzocyclobutenes in polymer synthesis," Progress in Polymer Science, vol. 18, pp. 85-185, 1993. [18] M. F. Farona, "Benzocyclobutenes in polymer chemistry," Progress in Polymer Science, vol. 21, pp. 505-555, 1996. [19] M. E. Mills, P. Townsend, D. Casrillo, S. Martin, and A. Achen, "Benzocyclobutene (DVS-BCB) polymer as an interlayer dielectric (ILD) material," Microelectronic Engineering, vol. 33, pp. 327-334, 1997. [20] "Processing procedures for dry-etch CYCLOTENE advance electronics resins (dry-etch BCB)," Dow Chemical, 2004. 96 [21] P. B. Chinoy and J. Tajadod, "Processing and microwave characterization of multilevel interconnects using benzocyclobutene dielectric," IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol. 16, pp. 714-719, 1993. [22] A. J. G. Strandjord, R. H. Heistand, P. Garrou, and T. G. Tessier, "A photosensitive-BCB on laminate technology (MCM-LD)," presented at 44th Electronic Components and Technology Conference, Washington DC, USA, 1994. [23] D. C. Burdeaux, P. H. Townsend, J. N. Carr, and P. Garrou, "Benzocyclobutene (BCB) dielectrics for the fabrication of high density, thin film multichip modules," Journal of Electronic Materials, vol. 19, pp. 1357-1366, 1990. [24] M. J. Berry, T. G. Tessier, I. Turlik, G. M. Adema, D. C. Burdeaux, J. N. Carr, and P. Garrou, "Benzocyclobutene as a dielectric for multichip module fabrication," presented at 40th Electronic Components and Technology Conference, 1990. [25] R. D. Sandell, G. Akerling, and A. D. Smith, "Multi-chip packaging for high speed superconducting circuits," presented at Applied Superconductivity Conference, Boston, MA, USA, 1995. [26] T. Miyagi, Y. Iseki, K. Higuchi, Y. Shizuki, T. Hanawa, E. Takagi, M. Saito, K. Yoshihara, and M. Konno, "MCM-D/L using copper/photosensitive-BCB multilayer for upper microwave band systems," presented at 46th Electronic Components and Technology Conference, Orlando, FL, USA, 1996. [27] Y. Ida, P. E. Garrou, A. J. G. Strandjord, S. L. Cummings, W. B. Rogers, M. J. Berry, and S. R. Kisting, "Processing, transfer solder bumping, chip attachment and testing of a thin film Cu/photo-BCB MCM-D," presented at 8th IEEE/CPMT Int. Electronic Manufacturing Technology Symp., Japan, 1995. [28] L. A. Keser, R. Bajaj, and T. Fang, "Redistribution and bumping of a high I/O device for flip chip assembly," IEEE Transactions on Advanced Packaging, vol. 23, pp. 3-8, 2000. [29] D. T. Price, R. J. Gutmann, and S. P. Murarka, "Damascene copper interconnects with polymer ILDs," presented at 24th International Conference on Metallurgical Coatings and Thin Films, Switzerland San Diego, CA, USA, 1997. 97 [30] A. J. G. Strandjord, W. B. Rogers, Y. Ida, R. R. De Vellis, S. Shiau, E. S. Moyer, D. M. Scheck, and P. E. Garrou, "Photosensitive benzocyclobutene for stress- buffer and passivation applications (one mask manufacturing process)," presented at 47th Electronic Components and Technology Conference, San Jose, CA, 1997. [31] X. Huo, K. J. Chen, and P. C. H. Chan, "High-Q copper inductors on standard silicon substrate with a low-k BCB dielectric layer," presented at Proceedings of 2002 International Microwave Symposium, Seattle, WA, USA, 2002. [32] C. F. Kane and R. R. Krchnavek, "Benzocyclobutene optical waveguides," IEEE Photonics Technology Letters, vol. 7, pp. 535-537, 1995. [33] S. Wolff, A. R. Giehl, M. Renno, and H. Fouckhardt, "Metallic waveguide mirrors in polymer film waveguides," presented at 10th European Conference on Integrated Optics, Paderborn, Germany, 2001. [34] C. Laville, C. Pellet, and G. N'Kaoua, "Interdigitated humidity sensors for a portable clinical microsystem," presented at 1st Ann. Int. IEEE-EMBS Special Topic Conf. Microtechnologies in Medicine and Biology, Lyon, France, 2000. [35] H. H. Gatzen, E. Obermeier, T. Kohlmeier, T. Budde, H. D. Ngo, B. Mukhopadhya, and M. Farr, "An electromagnetically actuated bi-stable mems optical microswitch," presented at 12th International Conference on TRANSDUCERS, Solid-State Sensors, Actuators and Microsystems, Boston, MA, USA, 2003. [36] P. Turmezei, A. Polyakov, J. R. Mollinger, M. Bartek, A. Bossche, and J. N. Burghartz, "Low-cost microfilter for red blood cell membrane stiffness measurement using photosensitive bcb," presented at 12th Innational Conference on Solid-State Sensors, Actuators and Microsystems, Boston, MA, USA, 2003. [37] A. Jourdain, X. Rottenberg, G. Carchon, and H. A. C. Tilmans, "Optimization of 0-level packaging for RF-MEMS devices," presented at 12th Innational Conference on TRANSDUCERS, Solid-State Sensors, Actuators and Microsystems, Boston, MA, USA, 2003. [38] F. Niklaus, H. Andersson, P. Enoksson, and G. Stemme, "Low temperature full wafer adhesive bonding of structured wafers," presented at Proceedings of EUROSENSORS, Switzerland Copenhagen, Denmark, 2001. 98 [39] T.-K. A. Chou and K. Najafi, "3D MEMS fabrication using low-temperature wafer bonding with benzocyclobutene (BCB)," presented at Solid-State Sensors and Actuators 11th International Conference, Munich, Germany, 2001. [40] J. Oberhammer and G. Stemme, "Incrementally etched electrical feedthroughs for wafer-level transfer of glass lid packages," presented at Solid-State Sensors, Actuators and Microsystems 12th Innational Conference, Boston, MA, USA, 2003. [41] J. M. Snodgrass and R. H. Dauskardt, "The effect of fatigue on the adhesion and subcritical debonding of benzocyclobutene/silicon dioxide interfaces," presented at Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics Symposium, San Francisco, CA, USA, 2001. [42] J. M. Snodgrass, D. Pantelidis, M. L. Jenkins, J. C. Bravman, and R. H. Dauskardt, "Subcritical debonding of polymer/silica interfaces under monotonic and cyclic loading," Acta Materialia, vol. 50, pp. 2395-2411, 2002. [43] J. Im, E. O. Shaffer, R. Peters, T. Rey, C. Murlick, and R. L. Sammler, "Physical and mechanical properties determination of photo-BCB-based thin films," presented at International Symposium on Microelectronics, 1996. [44] J.-h. Im, E. O. Shaffer, II, T. Stokich, Jr, A. Strandjord, J. Hetzner, J. Curphy, C. Karas, G. Meyers, D. Hawn, A. Chakrabarti, and S. Froelicher, "On the mechanical reliability of photo-BCB-based thin film dielectric polymer for electronic packaging applications," Transactions of the ASME. Journal of Electronic Packaging, vol. 122, pp. 28-33, 2000. [45] J. T. Beechinor, E. McGlynn, M. O'Reilly, and G. M. Crean, "Optical characterisation of thin film benzocyclobutene (BCB) based polymers," presented at Advanced Materials for Interconnections Symposium, E-MRS Spring Meeting Conference, Netherlands Strasbourg, France, 1997. [46] A. Modafe, N. Ghalichechian, B. Kleber, and R. Ghodssi, "Electrical characterization of Benzocyclobutene polymers for electric micro machines," IEEE Transactions on Device and Materials Reliability, vol. 4, pp. 495-508, 2004. [47] S. Guo, "Cyclotene(R) diaphragm for MEMS-based IR detectors," presented at Proceedings of the SPIE - The International Society for Optical Engineering, 99 Micromachining and Microfabrication Process Technology VII, USA San Jose, CA, USA, 2003. [48] C. L. Borst, D. G. Thakurta, W. N. Gill, and R. J. Gutmann, "Chemical- mechanical planarization of low-k polymers for advanced IC structures," Transactions of the ASME. Journal of Electronic Packaging, vol. 124, pp. 362- 366, 2002. [49] F. Kuchenmeister, Z. Stavreva, U. Schubert, K. Richter, C. Wenzel, and M. Simmonds, "A comparative CMP study of BCB and SiLK for copper damascene technologies," presented at Advanced Metallization Conference, Colorado Springs, CO, USA, 1998. [50] H. Seidel, L. Csepregi, A. Heuberger, and H. Baumgartel, "Anisotropic etching of crystalline silicon in alkaline solutions. I. Orientation dependence and behavior of passivation layers," Journal of the Electrochemical Society, vol. 137, pp. 3612- 3626, 1990. [51] H. Seidel, L. Csepregi, A. Heuberger, and H. Baumgartel, "Anisotropic etching of crystalline silicon in alkaline solutions. II. Influence of dopants," Journal of the Electrochemical Society, vol. 137, pp. 3626-3632, 1990. [52] N. Yazdi, F. Ayazi, and K. Najafi, "Micromachined inertial sensors," Proceedings of the IEEE, vol. 86, pp. 1640-1659, 1998. [53] W. P. Eaton and J. H. Smith, "Micromachined pressure sensors: review and recent developments," Smart Materials and Structures, vol. 6, pp. 530-539, 1997. [54] R. Nayve, M. Fujii, A. Fukugawa, and M. Murata, "High resolution long array thermal ink jet printhead fabricated by anisotropic wet etching and deep Si RIE," presented at IEEE The Sixteenth Annual International Conference on MEMS, Kyoto, Japan, 2003. [55] C. Strandman and Y. Backlund, "Bulk silicon holding structures for mounting of optical fibers in v-grooves," Microelectromechanical Systems, Journal of, vol. 6, pp. 35-40, 1997. [56] R. Ghodssi, D. D. Denton, A. A. Seireg, and B. Howland, "Rolling friction in a linear microactuator," Journal of Vacuum Science & Technology A (Vacuum, Surfaces, and Films), vol. 11, pp. 803-807, 1993. 100 [57] T. W. Lin, A. Modafe, B. Shapiro, and R. Ghodssi, "Characterization of dynamic friction in MEMS-based microball bearings," to be published in IEEE transactions on Instrumentation and Measurement, June, 2004. [58] L.-H. Lee, Fundamentals of adhesion edited by Lieng-Huang Lee. New York, 1991. [59] S.-Y. Kook, J. M. Snodgrass, A. Kirtikar, and R. H. Dauskardt, "Adhesion and reliability of polymer/inorganic interfaces," Transactions of the ASME. Journal of Electronic Packaging, vol. 120, pp. 328-335, 1998. [60] E. Sacher, J.-J. Pireaux, and S. P. Kowalczyk, Melallization of polymers. Washingto DC: American Chemical Society, 1990. [61] E. Sacher, Metallization of polymers 2. New York: Kluwer Academic/Plenum Publishers, 2002. [62] R. J. Hohlfelder, D. A. Maidenberg, R. H. Dauskardt, Y. Wei, and J. W. Hutchinson, "Adhesion of benzocyclobutene-passivated silicon in epoxy layered structures," Journal of Materials Research, vol. 16, pp. 243-255, 2001. [63] R. C. Jaeger, Introduction to microelectronic fabrication, 2nd ed. Upper Saddle River: Prentice Hall, 2002. [64] C. R. Rusu, G. Klaasse, S. Sedky, H. Esch, B. Parmentier, A. Verbist, and A. Witvrouw, "Planarization of deep trenches," presented at Proceedings of the SPIE, Micromachining and Microfabrication Process Technology VII, San Francisco, CA, USA, 2001. [65] P. E. Garrou, R. H. Heistand, M. Dibbs, T. A. Manial, C. Mohler, T. Stokich, P. H. Townsend, G. M. Adema, M. J. Berry, and I. Turlik, "Rapid thermal curing of BCB dielectric," presented at 42nd Electronic Components and Technology Conference, San Diego, CA, USA, 1992. [66] P. E. Garrou, R. H. Heistand, M. G. Dibbs, T. A. Manial, C. E. Mohler, T. M. Stokich, P. H. Townsend, G. M. Adema, M. J. Berry, and I. Turlik, "Rapid thermal curing of BCB dielectric," IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol. 16, pp. 46-52, 1993. 101 [67] R. V. Tanikella, S. A. Bidstrup, and P. A. Kohl, "Variable-frequency microwave curing of benzocyclobutene," Journal of Applied Polymer Science, vol. 83, pp. 3055-3067, 2002. [68] S. Poulin, D. Q. Yang, E. Scher, C. Hyett, and T. H. Ellis, "The surface structure of Dow Cyclotene 3022, as determined by photoacoustic FTIR, confocal Raman and photoelectron spectroscopies," Applied Surface Science, vol. 165, pp. 15-22, 2000. [69] "Nobel Prize in Chemistry," http://www.nobelprize.org, (20 Feb 2005). [70] M. G. Dibbs, P. H. Townsend, T. M. Stokich, B. S. Huber, C. E. Mohler, R. H. Helstand, P. E. Garrou, G. M. Adema, M. J. Berry, and I. Turlik, "Cure management of benzocyclobutene dielectric for electronic applications," presented at 6th International SAMPE Electronics Conference, Critical Materials and Processes in a Changing World, Baltimore, MD, USA, 1992. [71] P. H. Townsend, D. C. Burdeaux, T. M. Stokich, and M. G. Dibbs, "Cure technology for controlled stress in thin benzocyclobutene coatings," presented at Material Reserach Society Sumposium, San Francisco, CA, USA, 1992. [72] R. J. Shul, C. T. Sullivan, and G. B. McClellan, "Anisotropic ECR etching of benzocyclobutene," Electronics Letters, vol. 31, pp. 1919-1921, 1995. [73] K. W. Paik, R. J. Saia, and J. J. Chera, "Studies on the surface modification of benzocyclobutene (BCB) film by plasma ions," presented at Material Reseach Society Symposium, Boston, MA, USA, 1991. [74] P. B. Chinoy, "Reactive Ion Etching of Benzocyclobutene Polymer Films," IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part C, vol. 20, pp. 199-206, 1997. [75] S. A. Vitale, H. Chae, and H. H. Sawin, "Etching chemistry of benzocyclobutene (BCB) low-k dielectric films in F2+O2 and Cl2+O2 high density plasmas," Journal of Vacuum Science & Technology A, vol. 18, pp. 2770-2778, 2000. [76] M. R. Baklanov, S. Vanhaelemeersch, H. Bender, and K. Maex, "Effects of oxygen and fluorine on the dry etch characteristics of organic low-k dielectrics," Journal of Vacuum Science & Technology B, vol. 17, pp. 372-379, 1999. 102 [77] P.-S. Kang, D.-P. Kim, K.-T. Kim, C.-I. Kim, and S.-G. Kim, "Etch characteristics of BCB film using inductively coupled plasma," presented at Joint International Plasma Symposium on 6th APCPS, South Korea Jeju Island, South Korea, 2003. [78] C. Brubaker, R. Islam, and H. Luesebrink, "Ultra-thick lithography for advanced packaging and MEMS," presented at Proceedings of the SPIE, Santa Clara, CA, USA, 2002. [79] D. Guifu, Y. Jinyuan, Y. Aibin, Z. Xiaolin, W. Li, and S. Tianhui, "Patterning of diamond films by RIE and its MEMS applications," presented at Proceedings of the SPI, Micromachining and Microfabrication Process Technology VI, Santa Clara, CA, USA, 2000. [80] H. Tanaka, S. Yamashita, Y. Abe, M. Shikida, and K. Sato, "Fast wet anisotropic etching of Si {100} and {110} with a smooth surface in ultra-high temperature KOH solutions," presented at 12th Innational Conference on Solid-State Sensors, Actuators and Microsystems, Boston, MA, USA, 2003. [81] K. Sato, M. Shikida, Y. Matsushima, T. Yamashiro, K. Asaumi, Y. Iriye, and M. Yamamoto, "Characterization of anisotropic etching properties of single-crystal silicon: effects of KOH concentration on etching profiles," presented at IEEE Tenth Annual International Workshop on Micro Electro Mechanical Systems, MEMS '97, Nagoya, Japan, 1997. [82] S. A. Campbell, K. Cooper, L. Dixon, R. Earwaker, S. N. Port, and D. J. Schiffrin, "Inhibition of pyramid formation in the etching of Si p(100) in aqueous potassium hydroxide-isopropanol," Journal of Micromechanics and Microengineering, vol. 5, pp. 209-218, 1995. [83] A. Hein, O. Dorsch, and E. Obermeier, "Effects of metallic impurities on anisotropic etching of silicon in aqueous KOH-solutions," presented at International Conference on Solid State Sensors and Actuators, Chicago, 1997. [84] R. Divan, N. Moldovan, and H. Camon, "Roughning and smoothing dynamics during KOH silicon etching," Sensors and Actuators A: Physical, vol. 74, pp. 18- 23, 1999. [85] K. L. Mittal, Polymer surface modification relevance to adhesion. Utrecht, Netherlands: VSP, 1996. 103 [86] K. L. Mittal, Adhesion aspects of polymeric coatings, volume 2 editor, K.L. Mittal. Utrecht: VSP, 2003. [87] S. Luo and C. P. Wong, "Effect of UV/ozone treatment on surface tension and adhesion in electronic packaging," IEEE Transactions on Components and Packaging Technologies, vol. 24, pp. 43-49, 2001. [88] B. Viallet, E. Daran, and L. Malaquin, "Effects of ultraviolet/ozone treatment on benzocyclobutene films," Journal of Vacuum Science & Technology A, vol. 21, pp. 766-771, 2003. [89] D.-Q. Yang, L. Martinu, E. Sacher, and A. Sadough-Vanini, "Nitrogen plasma treatment of the dow Cyclotene 3022 surface and its reaction with evaporated copper," Applied Surface Science, vol. 177, pp. 85-95, 2000. [90] D.-Q. Yang and E. Sacher, "The surface modification of Dow Cyclotene by low energy N2+ beams and its effect on the adhesion of evaporated Cu films," Applied Surface Science, vol. 195, pp. 202-213, 2002. [91] E. O. Shaffer, M. E. Mills, D. D. Hawn, J. C. Liu, and J. P. Hummel, "A method for improving the adhesion of PE-CVD SiO2 to Cyclotene 5021 polymeric interlayer dielectric," presented at IEEE International Interconnect Technology Conference, San Francisco, CA, USA, 1998. [92] D.-Q. Yang and E. Sacher, "Argon ion treatment of the Dow Cyclotene 3022 surface and its effect on the adhesion of evaporated copper," Applied Surface Science, vol. 173, pp. 30-39, 2001. [93] R. Talevi, H. Gundlach, Z. Bian, A. Knorr, M. Van Gestel, S. Padiyar, A. E. Kaloyeros, R. E. Geer, E. O. Shaffer, and S. Martin, "Material and process studies in the integration of plasma-promoted chemical-vapor deposition of aluminum with benzocyclobutene low-dielectric constant polymer," Journal of Vacuum Science & Technology B, vol. 18, pp. 252-261, 2000. [94] D.-Q. Yang, E. Sacher, E. M. Griswold, and G. Smith, "The enhancement of the adhesion of copper layers to Dow Cyclotene 3022 through metal sputtering," Applied Surface Science, vol. 180, pp. 200-208, 2001. [95] M. J. Berry, I. Turlik, P. L. Smith, and G. M. Adema, "The role of surface modification on adhesion at the metal/polymer interface," presented at Materials 104 Science of High Temperature Polymers for Microelectronics Symposium, Anaheim, CA, USA, 1991. [96] D. L. Smith, Thin-film deposition, principles and practice. New York: McGraw- Hill, 1995. [97] M. Ohring, The materials science of thin films, deposition and structure, 2nd ed. San Diego: Academic Press, 2002. [98] K. R. Williams, K. Gupta, and M. Wasilik, "Etch rates for micromachining processing-part II," Journal of Microelectromechanical Systems, vol. 12, pp. 761- 778, 2003. [99] M. Spencer, K. Ruben, C. Li, P. Williams, and T. D. Flaim, "Polymer protective coating for wet deep silicon etching processes," presented at Proceedings of the SPIE, Micromachining and Microfabrication Process Technology VII, San Jose, CA, USA, 2003. [100] U. Munch, O. Brand, O. Paul, H. Baltes, and M. Bossel, "Metal film protection of CMOS wafers against KOH," presented at The Thirteenth Annual International Conference on Micro Electro Mechanical Systems, Piscataway, NJ, USA, 2000. [101] J. Im, T. Stokich, Jr, J. Hetzner, G. C. Buske, J., E. O. Shaffer, II, and G. Meyers, "Development of adhesion promoters to enhance polymer/substrate interface toughness," presented at International Symposium on Advanced Packaging Materials., Properties and Interfaces, Braselton, GA, USA, 1999. [102] M. Topper, A. Achen, and H. Reichl, "Interfacial adhesion analysis of BCB/TiW/Cu/PbSn technology in waferlevel packaging," presented at 53rd Electronic Components and Technology Conference, New Orleans, LA, USA, 2003. [103] J. A. Thornton, "The microstructure of sputter-deposited coatings," presented at Proceedings of the 13th International Conference on Metallurgical Coatings, San Diego, CA, USA, 1986. [104] R. A. Powell and S. M. Rossnagel, PVD for microelectronics, sputter deposition applied to semiconductor manufacturing. San Diego: Academic Press, 1999. 105 [105] V. Guilbaud-Massereau, A. Celerier, and J. Machet, "Study and improvement of the adhesion of chromium thin films deposited by magnetron sputtering," Thin Solid Films, vol. 258, pp. 185-193, 1995. [106] R. W. Johnson, T. L. Phillips, W. K. Weidner, S. F. Hahn, D. C. Burdeaux, and P. H. Townsend, "Benzocyclobutene interlayer dielectrics for thin film multichip modules," IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol. 13, pp. 347-352, 1990. [107] K. W. Paik and H. S. Cole, "Interfacial studies on Cr and Ti deposited on benzocyclobutene (BCB) film," presented at Material Reserach Society Symposium, vol. 203, Boston, MA, USA, 1991. [108] K. W. Paik, H. S. Cole, R. J. Saia, and J. J. Chera, "Studies on metal/benzocyclobutene (BCB) interface and adhesion for MCM packaging," Journal of Adhesion Science and Technology, vol. 7, pp. 403-415, 1993. [109] N. Schuhler and P. Oelhafen, "Investigation of the Cu/BCB and Cu/PPQ interfaces by photoelectron spectroscopy," Journal of Vacuum Science & Technology A, vol. 15, pp. 2529-2536, 1997. [110] M. Grasserbauer and H. W. Werner, "Analysis of microelectronic materials and devices." Chichester: J. Wiley & sons, 1996, pp. 407-511. [111] "Auger Electron Spectroscopy," IBM Microelectronic Division 25 Oct 2002. [112] M. Grasserbauer and H. W. Werner, "Analysis of microelectronic materials and devices." Chichester: J. Wiley & sons, 1996, pp. 327-406. [113] A. Benninghoven, F. G. Radenauer, and H. W. Werner, Secondary ion mass spectrometry basic concepts, instrumental aspects, applications and trends. New York: J. Wiley, 1987. [114] J. H. Das and J. E. Morris, "Metal diffusion in polymers," IEEE Transactions on Components, Packaging, and Manufacturing Technology, Part B: Advanced Packaging, vol. 17, pp. 620-625, 1994. 106 [115] H. Hendricks, "Low dielectric constant materials for IC intermetal dielectric applications: A status report on the leading candidates," presented at Materials Research Society Symposium Proceedings, 1997. [116] J. M. Snodgrass, D. Pantelidis, J. C. Bravman, and R. H. Dauskardt, "The effects of environment and fatigue on the adhesion and subcritical debonding of dielectric polymers," presented at Materials Research Society Symposium Proceedings, vol.565, San Francisco, CA, USA, 1999. [117] F. K. LeGoues, B. D. Silverman, and P. S. Ho, "The microstructure of metal- polyimide interfaces," Journal of Vacuum Science & Technology A, vol. 6, pp. 2200-2204, 1988. [118] P. S. Ho, P. O. Hahn, J. W. Bartha, G. W. Rubloff, F. K. LeGoues, and B. D. Silverman, "Chemical bonding and reaction at metal/polymer interfaces," presented at 31st National Symposium of the American Vacuum Society, NV, USA, 1985. [119] N. Ghalichechian, A. Modafe, R. Ghodssi, P. Lazzeri, V. Micheli, and M. Anderle, "Integration of Benzocyclobutene Polymers and Silicon Micromachined Structures Using Anisotropic Wet Etching," Journal of Vacuum Science & Technology B, vol. 22, pp. 2439-244, 2004. (This paper was selected for publication in Virtual Journal of Nanoscale Science and Technology, vol.10, issue 17, October 25, 2004).