ABSTRACT Title of Dissertation: PLASMA-BASED ATOMIC SCALE ETCHING APPROACHES USING EITHER ION OR ELECTRON BEAM ACTIVATION Kang-Yi Lin, Doctor of Philosophy, 2022 Dissertation directed by: Professor Gottlieb S. Oehrlein, Department of Physics, Department of Material Science and Engineering and Institute for Research in Electronics and Applied Physics Plasma dry etching has been extensively employed in semiconductor manufacturing processes for anisotropic pattern transfer. With device miniaturization, the conventional approach utilizing continuous wave plasma etching does not meet the requirement for sub- nanometer processing nodes, including profile control and atomic-scale etching selectivity. Additionally, the direct plasma exposure of a substrate raises the concern of plasma damage and undesired material removal. We describe improvements of plasma-based etching techniques and identified novel ways for enabling material removal. We have systematically studied different precursor chemistries for atomic layer etching on etching selectivity of SiO2 to Si3N4 and SiO2 to Si and obtained an understanding of the surface chemistry evolution. Compared to the conventional approach that mixes fluorocarbon and hydrogen precursors, selected hydrofluorocarbon can deliver optimal plasma chemistry that produces a reduced F/C film in the deposition step and realizes atomic-scale etching selectivity. We also report a new approach for establishing etching selectivity of HfO2 over Si by integrating substrate-selective deposition into an atomic layer etching sequence. The optimal precursor chemistry can selectively deposit on the Si surface as a passivation layer and convert HfO2 to metal-organic compounds for desorption. Finally, we designed and built a system that consists of an electron flood gun and a remote plasma source to demonstrate the concept of a new etching approach by exploiting electron- neutral synergistic effects. This configuration achieves precisely controlled SiO2 or Si3N4 etching by co-introducing an electron beam and Ar/CF4/O2 remote plasma. This approach also addresses the issue of limited precursor chemistries in electron beam-induced etching. PLASMA-BASED ATOMIC SCALE ETCHING APPROACHES USING EITHER ION OR ELECTRON BEAM ACTIVATION by Kang-Yi Lin Dissertation submitted to the Faculty of the Graduate School of the University of Maryland, College Park, in partial fulfillment of the requirements for the degree of Doctor of Philosophy 2022 Advisory Committee: Professor Gottlieb S. Oehrlein, Chair Professor John Cumings Dr. Klaus Edinger Professor Lourdes G. Salamanca-Riba Professor Thomas Antonsen ? Copyright by Kang-Yi Lin 2022 Acknowledgements Many people helped me get enrolled in the doctoral program at the Department of Materials Science and Engineering, University of Maryland. I would like to start by thanking my co-op mentor at Momentive Performance Materials, Dr. Anand Murugaiah, for inspiring me to pursue an advanced degree and teaching me many valuable research skillsets. Your mentoring made me think of transforming an innovative manufacturing process into intellectual property. I truly enjoyed the time staying at Momentive where I always had your support to explore and validate every possibility of the new ideas. I would also like to thank my principal investigator at Academia Sinica, Professor Shyue. Without your guideline, I could not understand the material characterization and instrument operation, including SEM, FIB, TEM, XPS, ToF-SIMS, and AFM. Every discussion we had during the commute from National Taiwan University to our office made me understand better what I cannot figure out in a textbook or preventative maintenance. Thank you for offering the possibility to gain theoretical knowledge and practical experience on material characterization instruments. I would also like to thank my manager at Hitachi High-Tech, Michikazu Morimoto, for educating me on developing a dry etching recipe and interpreting the plasma-surface interaction. Your publication and patent are fascinating training materials. Whenever we discussed these materials, such as pulsed-time modulated plasma technologies, you always bought up the essential concepts and exemplified how to apply them to a real-world etching process. Without your help, I could not participate in the world's leading plasma processing laboratories and research ALE topics. ii Thank my advisor, Professor Oehrlein, for helping me become a productive researcher through my doctoral period. Your ideas and insights catalyzed the development of advanced plasma etching technologies. I feel fortunate to join your research lab and learn philosophy you approach and address scientific questions. I also thank you for the opportunity of collaborating with experts in semiconductor companies and presenting our research findings at a prestigious conference. I would like to thank my dissertation committee for showing interest in my work and taking the time to support my dissertation. I hope this is good reading material before bed. Thank you, Dr. Edinger, Professor Salamanca-Riba, Professor Cumings, and Professor Antonsen. Also, I would like to thank my collaborators at IBM, TSMC, Global Foundries, Intel, Tokyo Electron Limited, and Carl Zeiss SMT GmbH. The projects were challenging but nicely fitted my research interest for influencing the future development of semiconductor processes. Your fruitful and insightful feedback stimulated me to think of fundamental questions behind the challenges. Thank you to my former and current colleagues and friends ? Dr. Andrew Knoll, Dr. Pingshan Luan, Dr. Chen Li, Dr. Adam Pranda, Thomas Weimar, Nolan Ballew, Yudong Li, Michael Hinshelwood, and David Chung ? for your support to complete my research. Last but not least, I would like to thank my parents, Ching-Rong Lin and Chiou-Chen Huang, and my wife, Dr. Hao Chiang. Mom, thank you for taking the burden of caring for Dad while he experienced a fatal car accident resulting in paralysis. Your support lets me continue pursuing the dream. You always are my role model and encourage me to face every challenge no iii matter how difficult life is. I could not complete the graduate program without your support and encouragement. iv Table of Contents Kang-Yi Lin, Doctor of Philosophy, 2022 1 Acknowledgements ii Table of Contents v List of Tables viii List of Figures ix Chapter 1: Introduction 1 1.1 Technical Challenges 2 1.2 Collaborative Research 5 1.3 Processing Apparatus 6 1.3.1 Inductively Coupled Plasma Reactor 6 1.3.2 Flood Gun Integrated with Remote Plasma 7 1.4 Characterization Methods 9 1.4.1 In Situ Ellipsometry 9 1.4.2 X-Ray Photoelectron Spectroscopy (XPS) 9 1.5 Processing Materials 9 1.6 Thesis Outlines 10 Chapter 2: Achieving Ultrahigh Etching Selectivity of SiO2 over Si3N4 and Si in Atomic Layer Etching by Exploiting Chemistry of Complex Hydrofluorocarbon Precursors 12 2.1 Introduction 14 2.2 Experimental 16 2.3 Results 18 2.3.1 SiO2 and Si3N4 ALE 18 2.3.2 SiO2 and Si ALE 29 2.4 Discussion 31 2.5 Summary and Conclusions 32 2.6 Acknowledgments 33 Chapter 3: Selective atomic layer etching of HfO2 over silicon by precursor and substrate- dependent selective deposition 34 3.1 Introduction 36 v 3.2 Technical Motivation Review 38 3.2.1 Achieving Material Etching Selectivity in ALE. 39 3.2.2 Area Selective Deposition 42 3.2.3 Substrate Selective Deposit-Based ALE 44 3.2 Experimental 46 3.3 Results and Discussion 50 3.3.1 Comparison of CH4/C4F8 And CH4/CHF3 on Si And HfO2 50 3.3.1.1 Deposition Behavior 50 3.3.1.2 Model of Non-Selective and Selective Deposition Precursors 56 3.3.2 CH4/CHF3-Based ALE of HfO2 with Selectivity to Si 61 3.3.3 Discussion 68 3.4 Summary and Conclusions 75 3.5 Acknowledgments 76 Chapter 4: SiO2 Surface Evolution under CF4/O2 Remote Plasma and Co-Introduction of Remote Plasma and Electron Beam 77 4.1 Introduction 79 4.2 Experimental 82 4.3 Results and Discussion 85 4.3.1 Remote Plasma on SiO2 and Poly-Si 85 4.3.2 Co-introduction of Electron Beam and Remote Plasma for SiO2 Etching 87 4.3.3 Prototypical Processing Development 93 4.3.4 Process Characterization 98 EB and Untreated SiO2 Interactions 99 Sequential Treatment Consisting of Remote Plasma and Subsequent EB Exposure 104 Co-introduction of Remote Plasma and EB on SiO2 109 4.4 Summary and Conclusions 115 4.5 Acknowledgments 117 Chapter 5: Electron beam-induced etching of SiO2, Si3N4, and poly-Si assisted by CF4/O2 remote plasma 118 5.1 Introduction 120 5.2 Experimental 121 5.3 Results and Discussion 124 vi 5.3.1 Remote Plasma on SiO2, Si3N4, and Poly-Si 124 5.3.2 Simultaneous Exposure of Surfaces to Electron Beam and Remote Plasma Fluxes for SiO2, Si3N4, and Poly-Si Etching 127 5.3.3 Etching Selectivity Development 135 5.3.4 Process Characterization 138 5.4 Summary and Conclusions 148 5.5 Acknowledgments 149 Chapter 6: Conclusions and Future Work 150 Bibliography 154 vii List of Tables Table 1.1 Organization of collaborations in this dissertation Table 2.1 Molecular formula, name and structure of precursors used in Chapter 2 Table 3.1 Molecular formula, name and structure of precursors used in Chapter 3 viii List of Figures Fig. 1.1 Schematic diagram of the reactor for plasma-enhanced atomic layer etching FIG. 1.2 Schematic diagram of the reactor for studying electron beam-induced etching with the assistance of remote plasma Fig. 2.2 (Color online) (a) Ellipsometry modeling for SiO2-Si3N4-SiO2 stack sample with top SiO2 etching (gold, bottom SiO2 layer is not shown) and underlayer Si3N4 etching (dark red dotted line). The dark cyan dashed line describes the formation of a FC passivation layer with 4 and 7 nm Si3N4 loss before etch stop. (b) The experimental in-situ ellipsometry data of ALE with C4F8, C4F8/H2 and C3H3F3 superimposed on the ellipsometry model. The figure shows various Si3N4 losses by using different precursors and essentially negligible Si3N4 loss in the case of C3H3F3. Fig. 2.2 (Color online) (a) SiO2 and Si3N4 layer thickness at the end of each ALE cycle using C4F8, C4F8/H2 and C3H3F3, respectively. Si3N4 etching stops at various thicknesses. (b) The amount of Si3N4 thickness loss before etch stop for three conditions. Fig. 2.3 (Color online) Si3N4 XPS spectra obtained at a take-off angle of 20? that compare surfaces after ALE using (a-c) C4F8, (d-f) C4F8/H2 and (g-i) C3H3F3 precursors at the end of deposition and etching steps, respectively. XPS difference spectra formed by subtracting XPS data after the etching step from the data after the deposition step are also shown for the three chemistries (C4F8 (black), C4F8/H2 (red) and C3H3F3 (blue)). The spectra include (j) Si2p, (k) C1s and (l) F1s. Fig. 2.4 (Color online) (a) A comparison of the F/C ratios from the C1s and F1s/C1s spectra with ALE using C4F8, C4F8/H2 and C3H3F3 at the end of deposition and etching steps, respectively. (b) The thickness of the HFC film remained on the Si3N4 surface at the end of etching step for the three precursors. Fig. 2.5 (Color online) (a) SiO2 and Si thicknesses at the end of each ALE cycle using C4F8, C4F8/H2 and C3H3F3 precursors. (b) Precursor chemistry dependence of Si thickness loss prior to Si etch stop as the result of formation of a passivation layer. ix Fig. 3.1 (Color online) (a) Ion-enhanced etching of amorphous-Si using XeF2 gas and a 450 eV Ar+ beam, from Coburn and Winters. (b) Schematic illustrating a typical ALE sequence that is similar to the concept of the early work published by Coburn and Winters. Fig. 3.2 (Color online) is a schematic illustrating ODPA SAMs that deactivate the ALD processing on Cu oxide, enabling a dielectric film deposited on SiO2. The sequential treatment of the acid solution selectively removes the SAMs. Fig. 3.3 (Color online) the selectivity of AS-ALD is improved by introducing super-cycles of a selective etching step. Fig. 3.4 (Color online) is a schematic diagram of the atomic layer etching (ALE) cyclic process. The deposition step employs reactant injection and deposition on the substrate followed by a 12 s purge step to remove the residual reactants from the processing chamber. The etching step introduces a substrate bias for ion-assisted chemical etching of the FC deposition film and reacted layer. The experiment was designed to study the deposition behaviors on HfO2 and Si, so the deposition parameter was set to obtain a 10 ? thick deposition on Si at the end of the injection step in the beginning cycles and apply the same injection amount of the reactant to HfO2 to observe any differences in the deposition yield. Fig. 3.5 (Color online) The evolution of the deposition film using the CH4/C4F8 mixture on (a) Si and (b) HfO2, respectively. Process parameters were selected to yield a 10 ? HFC film thickness on Si during each cycle, where were then applied to HfO2 to study substrate-dependent deposition. Fig. 3.6 (Color online) The evolution of deposited HFC film on (a) Si and (b) HfO2, respectively when using CH4/CHF3. Process parameters were selected to yield 10 ? HFC film thickness on Si during the initial cycles and were then applied to HfO2. Fig. 3.7 (Color online) (a) A comparison of the deposition thickness at the end of the deposition step on HfO2 and Si using CH4/CHF3 and CH4/C4F8, respectively. (b) Deposition thickness differences between Si and HfO2 with CH4/CHF3 and CH4/C4F8, respectively. (c) The thickness evolution of the deposited FC and HfO2 film with the selected set of precursors. x Fig.3.8 (Color online) XPS analyses on the HfO2 and Si films treated by CH4/C4F8 after the purge and etching steps, respectively, in the 4th cycle. The (a) Hf4f, (b) C1s, (c) O1s and (d) F1s spectra present the surface chemistry during each step on HfO2. In comparison, the (e) Si2p, (f) C1s and (g) F1s spectra show the surface chemistry evolution on Si. Fig. 3.9 (Color online) XPS analyses on the HfO2 and Si films treated by CH4/CHF3 after the purge and etching steps, respectively, in the 4th cycle. The (a) Hf4f, (b) C1s, (c) O1s and (d) F1s spectra present the surface chemistry during each step on HfO2. In comparison, the (e) Si2p, (f) C1s and (g) F1s spectra show the surface chemistry evolution on Si. Fig. 3.10 (Color online) (a) Hf4f, (b) Si2p, (c) C1s, (d) O1s and (e) F1s XPS spectra of the sample (with the film scheme of a 3 nm ALD-HfO2/5 nm Si/30 nm SiO2 on a Si substrate) processed by CH4/CHF3 after four and eight cycles, respectively. Fig. 3.11 (Color online) (a) The in situ ellipsometry data of Ar/CF4 etchback on a sample (with a film scheme of 3 nm annealed HfO2/5 nm Si/30 nm SiO2 on a Si substrate) and a CH4/CHF3- based ALE process with the optimal processing parameters on the identical scheme sample. (b) Ellipsometric modeling of the etching of the top HfO2 and underlying Si films. The dashed line describes the formation of a FC passivation layer with a corresponding 1.5 nm Si loss before a Si etching stop occurs. Fig. 3.12 (Color online) (a) HfO2 and Si thickness at the end of each ALE cycle using a CH4/CHF3-based ALE process. (b) The profile of the HfO2 and FC thickness in the 4 th to 6th cycles of the HfO2 etching region was superimposed on the profile of the FC and Si thickness in the 17th to 21st cycles of the Si etching region. Fig. 3.13 (Color online) (Color online) XPS spectra comparing a pristine sample with a treated sample by a CH4/CHF3-based ALE process after 13 cycles at the end of the HfO2 etching region (no over-etching). The spectra include (a) Hf4f, (b) Si2p, (c) C1s, (d) O1s and (f) F1s. Fig. 3.14 (Color online) illustrates the surface reaction using CH4/CHF3 and CH4/C4F8, respectively, on HfO2 and Si, which is consistent with the XPS data shown in Figure 8 and 9. (a) A CH4/CHF3 mixture during the deposition step generates a high flux of fluorine, resulting in a xi highly fluorinated HfO2 surface which temporarily suppresses the FC deposition. The following step utilizing low energy Ar+ ion bombardment facilitates the etching of fluorinated HfO2. (b) For Si, a mixture of CH4/CHF3 is able to deposit a ~10 ? film due to silicon functioning as a reducing agent to remove excess reactive fluorine. In the etching step, the deposited film buffers Si layers from the ion bombardment, with some H, C, and F remaining on top of the Si. (c) and (d) A CH4/C4F8 mixture deposits a comparable thickness (~10 ?) on both HfO2 and Si surfaces due to a high flux of FC and HC species carrying a similar reactivity to both materials. In the etching step, low energy Ar+ ion bombardment sputters the deposited film and prompts the ion- enhanced fluorination of underlying materials. As a result, a CH4/CHF3 mixture on HfO2 and Si is able to provide a substrate-dependent deposition. FIG. 4.1. (Color online) Schematic diagram of the concept of co-introduction of radicals and electrons onto a SiO2 surface to enable a novel etching process. FIG. 4.2. (Color online) (a) Schematic diagram of the apparatus integrated an electron source and a radical source. Picture of the calibration phosphor screen under (b) a 1000 eV electron beam with the control grid voltage of 100 V and (c) the electron beam and in situ ellipsometry laser. FIG. 4.3. (Color online) The etching rate of SiO2 and poly-Si using remote plasma with various CF4/O2 flow rates. Fig. 4.4. (Color online) The SiO2 etch rate as a function of (a) emission current and (b) electron energy. FIG. 4.5. (Color online) The etching rate of SiO2 as a function of (a) RF power and (b) CF4/O2 flow rate. FIG. 4.6. (Color online) (a) Schematic diagram of the surface reaction for different exposure conditions. (b) The measured SiO2 etching rate for these exposures using standard conditions. FIG. 4.7. (Color online) (a) A two-layer ellipsometric model that describes SiO2 etching and subsequent electron beam-induced build-up and the experimental result of a procedure consisting of remote plasma and an electron beam. (b) Corresponding thickness change profile of SiO2 and build-up. xii FIG. 4.8. (Color online) (a) In situ ellipsometry results after 1000 eV focused electron beam irradiates SiO2 with the duration of 200 seconds and an ellipsometric model that describes the reduction of SiO2 thickness. (b) Overall thickness change profile. FIG. 4.9. (Color online) XPS spectra of (a) O1s and (b) F1s with various EB exposure times on SiO2. FIG. 4.10. (Color online) Summary of XPS spectra with various EB exposure times on SiO2. FIG. 4.11. (Color online) XPS spectra of using a 20? take-off angle for (a) O1s and (b) F1s photoelectrons and using a 90? take-off angle for (c) O1s and (d) F1s photoelectrons for the procedure of Fig.8(a), respectively. FIG. 4.12. (Color online) Summary of the characteristic spectra (Si2p, C1s, O1s, and F1s) of SiO2 in the procedure of Fig. 4.7(c). Two take-off angles are used including (a) 20? and (b) 90?. FIG. 4.13. (Color online) XPS spectra of SiO2 at the end of remote plasma and simultaneous remote plasma/EB process for the procedure shown in of Fig. 4.6(b), respectively. The O1s (a) and F1s (b) photoelectron spectra were taken at a 20? take-off angle, whereas the O1s (c) and F1s (d) spectra were taken at a 90? take-off angle. FIG. 4.14. (Color online) Summary of the characteristic spectra for the procedure shown in Fig. 6(b). Photoelectron take-off angles of (a) 20? and (b) 90? were used. FIG. 4.15. (Color online) A schematic diagram that describes surface reaction under three different processing modes including (a) EB irradiation on SiO2, (b) EB irradiation on modified SiO2, and (c) the co-introduction of electrons and radicals. FIG. 5.1. (Color online) The etching rate of (a) SiO2, (b) Si3N4, and (c) poly-Si using remote plasma with various relative CF4/O2 flow rates and RF powers. Fig. 5.2. (Color online) The etching rate of (a) SiO2, (b) Si3N4, and (c) poly-Si as a function of electron emission current. FIG. 5.3. (Color online) The etching rate of SiO2, Si3N4, and poly-Si as a function of electron energy xiii FIG. 5.4. (Color online) The etch rate of (a) SiO2, (b) Si3N4, and (c) poly-Si as a function of emission current and RF power. FIG. 5.5. (Color online) The etch rate of (a) SiO2, (b) Si3N4, and (c) poly-Si as a function of emission current and relative CF4/O2 flow rate. FIG. 5.6. (Color online) The etching selectivity of (a) Si3N4 to SiO2 and (b) poly-Si to SiO2 as a function of emission current and relative CF4/O2 flow rate. FIG. 5.7. (Color online) XPS spectra for treated poly-Si using 20? take-off angle for (a) O1s and (b) F1s photoelectrons and using 90? take-off angle for (c) O1s and (d) F1s photoelectrons. The operating conditions are 20% O2 remote plasma and 1000 eV focused EB using emission currents of 0 mA, 0.01 mA, and 0.5 mA, respectively. FIG. 5.8. (Color online) XPS spectra for treated poly-Si of using 20? take-off angle for (a) O1s and (b) F1s photoelectrons and using 90? take-off angle for (c) O1s and (d) F1s photoelectrons. The operating condition is combined remote plasma/EB exposure using a CF4/80% O2 remote plasma and 1000 eV focused electrons at emission currents of 0 mA, 0.01 mA, and 0.5 mA, respectively. FIG. 5.9. (Color online) Integrated O1s and F1s peak areas obtained with poly-Si after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios. Photoelectrons were collected at (a) 20? and (b) 90? take-off angles. FIG. 5.10. (Color online) Integrated O1s and F1s peak areas obtained with Si3N4 after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios. Photoelectrons were collected at (a) 20? and (b) 90? take-off angles. FIG. 5.11. (Color online) Integrated O1s and F1s peak areas obtained with SiO2 after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios. Photoelectrons were collected at (a) 20? and (b) 90? take-off angles. xiv Chapter 1: Introduction Selective material removal through plasma-surface interactions enables pattern transfer on semiconductor devices. As device scaling shrinks below 10 nm, plasma dry etching needs to achieve atomistic removal with ultra-high etching selectivity. An etching technique realizing atomic-scale layer-by-layer removal called atomic layer etching (ALE) has been extensively developed because of many advantages, including precise etching depth control, simplified processing steps, etching uniformity, and potentially ultra-high materials etching selectivity. Plasma-enhanced ALE typically consists of two steps: surface modification and etching. The surface modification step uses dissociated species to tailor the surface of a substrate; the sequential etching step introduces low-energy ions that sputter and remove the modified layer. Once all modified layers are depleted, the etching stops and it is known as self-limited etching. By iterating these two steps, the etch depth is determined by the number of process cycles. Etching selectivity can be achieved utilizing the chemical affinity between the constituent of the precursor and substrate. For ALE of Si with selectivity to SiO2, the surface modification step uses gaseous Cl2 that only chlorinates Si but not SiO2, since the energy of chlorinating Si (- 159 kJ/mol) is lower than SiO2 (230 kJ/mol). 1 In the etching step, the Ar+ ions have enough kinetic energy to sputter away the chlorinated Si, but not SiO2 and untreated Si. A cycle consisting of a Cl2 injection step and then an Ar+ ion bombardment step realizes ALE of Si with selectivity to SiO .2-42 However, the use of chlorine cannot meet a process that requires the reverse etching selectivity, i.e. SiO2 over Si. To accomplish such etching selectivity, it is important to leverage the plasma and surface interactions for identifying a precursor that favors functionalizing SiO2 but simultaneously passivates poly-Si. Fluorocarbon (FC)-based ALE of 1 SiO2 with selectivity to Si has been studied using the feature that SiO2 consumes FC faster than Si under a certain condition.5-8 C4F8 precursor was used in the surface modification step to form a FC layer on both SiO2 and Si, and sequentially 30 eV Ar + ions were used in the etching step. The etching selectivity is achieved by optimizing the ratio between the FC deposition thicknesses and etching step length (ESL) to the condition where the FC film is fully depleted by SiO2 while some remain on Si. As more processing cycles have proceeded, the build-up FC on Si is thick enough as a passivation layer to prevent the underlying Si loss from ion bombardment; SiO2 meanwhile keeps a constant ER. This manner also applies to other applications, e.g. those requiring etching selectivity of SiO2 over Si3N4 and SiGe. 1.1 Technical Challenges In plasma etching, the step of introducing reactants and ions on a substrate in a continuous wave (CW) process is different from an ALE sequence. A CW process co-introduces reactants and ions to a substrate for maximizing ER through the synergistic effect, whereas an ALE process introduces reactants and ions in separate steps to optimize etching selectivity. To improve the etching selectivity in a CW process, a mixture of etchants and additives is often employed. For example, C4F8 mixed with H2 is used to reduce the fluorine to carbon ratio in the deposited film by hydrogen scavenging fluorine atoms.9, 10 As a result, H2 additives in a C4F8 plasma promote a higher etching selectivity of SiO2 over Si. A combination of etchants and additives is well developed to establish an etching selectivity of different materials.11 However, limited studies have evaluated the effect of mixed reactants in an ALE sequence, especially whether the knowledge of additives in the CW process for enhancing etching selectivity applies 2 to an ALE sequence. The characteristic of precursors, including the structure and composition, in an ALE sequence also needs further investigation for etching performance.12 With device miniaturization, processing materials are no longer limited to Si-based materials. New emerging materials, including metal oxides, are implemented for less leakage current.13 For example, a high dielectric (high-k) material HfO2 replaces a SiO2 gate dielectric to reduce the tunneling effect. Only a few studies have discussed ALE of metal oxides with selectivity to Si-based materials.12, 14-16 There raises a need to study the surface reaction between emerging materials and precursors for improving etching selectivity, such as HfO2 over Si. The above-mentioned ALE approaches, including Cl2 and FC, do not apply to HfO2, because the bonding strength of Si-Si (327 kJ/mol) is weaker than of Hf-O (791 kJ/mol).1 Chlorine or fluorine-based precursors tend to break the Si-Si bond rather than the Hf-O bond, resulting in the volatility of Si products that is much higher than Hf products. The boiling point of SiF4, SiCl4, HfF4, and HfCl4 at atmospheric pressure is -86 ?C, 58 ?C, N/A, and 432 ?C, respectively. 17, 18 These lead to a higher ER on Si than HfO2, so we need to develop a novel ALE approach that can reverse the etching selectivity. Direct plasma exposure of a substrate often raises the concern of plasma damage. Plasma inherently creates a sheath potential on a substrate, where ions constantly impinge the surface. Ion bombardment produces material defects and undesired removal. Ar plasma, for example, under a 10 mTorr pressure can form a self-bias to -15 V that may lead to etching of Si and SiO2, especially during a surface modification step in the FC-based ALE sequences.7, 8 Therefore, it is crucial to develop a new etching method that eliminates plasma damage. 3 Electron beam-induced etching (EBIE) shows promising results to eliminate plasma- induced damage because the mass of electrons is orders of magnitude smaller than the mass of ions. EBIE is based on electron beam (EB) irradiation of the surface that has physically or chemically adsorbed chemical etchants to promote electron-simulated desorption (ESD).19 However, the combination of precursor chemistries available to fuctionalize materials in EBIE is still limited as compared to the number of available etchants in plasma etching. Two limiting factors are considered, 1) few precursors can adsorb and cover the substrate surface,20 and 2) the adsorbates can form volatile etch products under EB irradiation.21-23 To expand the available precursor chemistries in EBIE, we will leverage a new concept that integrates a remote plasma with an EB source: a remote plasma is used to selectively functionalize the surface of a substrate, and an EB is used to stimulate the removal of the modified layer. A remote plasma source comprises a plasma source and a neutralization plate. Plasma is used to energize the admitted gas precursors for the production of ions, radicals, and neutrals through electron-gas collision. A neutralization plate at the outlet of a plasma source is used to filter ions and allow only radicals and neutrals to diffuse to the reactor for functionalization of the specimen surface. Different from the conventional EBIE approach that relies on the inherent adsorption of gas-phase precursors on the substrate surface, the advantage of exploiting remote plasma is able to efficiently excite the precursor gases, which greatly increases the probability of radicals and neutrals adsorbed on the substrate surface. Remote plasma, additionally, can be used to functionalize the surface of a specimen. CF4/O2 remote plasma, for example, with an O2-rich recipe can produce an oxyfluoride layer on Si-based materials. This new experimental configuration consists of an electron flood gun and a remote plasma source and is used to 4 develop a remote plasma-assisted and EB-activated etching approach for the realization of damage-free pattern transfer. 1.2 Collaborative Research This research collaborated with several groups of experts in the world?s leading semiconductor companies. A brief description is listed in Table 1.1. Table 1.1: Organization of collaborations in this dissertation Project Collaborator Member Project Taiwan Semiconductor H.C. Chen Atomic layer etching Manufacturing Company of dielectric materials with high Tokyo Electron Limited H. Cottle selectivity Intel J. Tan IBM D. Metzler, S. Engelmann, R. Bruce, E.A. Joseph GLOBALFOUNDRIES C. Labelle, C. Park Carl Zeiss, SMS GmbH C. Preischl, C. F. Hermanns, D. Electron beam- Rhinow, H.-M. Solowan, T. induced process with Hofmann, B Michael, K. the assistance of Edinger remote plasma Working with industrial collaborators provides several benefits. The collaborators have excellent experiences that guide research direction. We held monthly phone conferences to discuss the research progress and challenge. Their strategy and professional feedback maximized the importance of research outcomes. Several special wafers, including stacked thin film structures, were prepared by the collaborators to ensure the highest research quality. 5 1.3 Processing Apparatus 1.3.1 Inductively Coupled Plasma Reactor The plasma-enhanced ALE experiments were conducted in an inductively coupled plasma (ICP) reactor (Fig. 1.1). The reactor consists of a spiral antenna on a quartz dielectric that is located 13 cm above an electrode. The top antenna is operated at a radiofrequency (RF) of 13.56 MHz to generate plasma, whereas the substrate bias is operated at an RF of 3.7 MHz to control the ion energy of the plasma. The substrate backside was cooled using a chuck held at a temperature of 10 ?C to mitigate any thermal effects on the samples. Mass flow controllers (MFCs) were used to precisely control the flow rate of precursors into the reactor. For plasma- enhanced ALE processes, a computer-controlled gas injection system was used to introduce precursors into the chamber at specified intervals. RF Power ALE Gas Supply Delivery Box Matching Network Induction Quartz Coil B-fields Coupling Window Ellipsometer Laser Ellipsometer Detector Confinement Ring Gas Inlet Heated E-fields Ring Chamber Wall Vacuum Transfer ALE Load Lock to Surface analysis Ring Cooled ALE Cyclic Bias Controller Chuck RF Bias Power Supply Fig. 1.1. Schematic diagram of the reactor for plasma-enhanced atomic layer etching 6 1.3.2 Flood Gun Integrated with Remote Plasma The experiments for electron beam-induced etching (EBIE) with the assistance of remote plasma were performed in a reactor equipped with an electron flood gun that is located 38.3 mm above the sample and a remote plasma source that is installed at the side port of the reactor (Fig. 1.2). The gun provides landing energy of electrons that ranges from 5 eV to 1000 eV with a maximum emission current of 1.5 mA. The grid voltage of the flood gun is used to control the beam spot size. 1000 eV EB with the maximum grid voltage of 100 V produces a ~5.5 mm diameter beam on a substrate. The remote plasma source consists of an electron cyclotron wave resonance (ECWR) at a radiofrequency (RF) of 13.56 MHz. The ECWR effect requires an additional static transverse magnetic field, exploiting the interaction of an electromagnetic field with plasma.24 The magnetic field splits a linearly polarized wave into a left hand and a right hand circularly polarized wave. The right hand circularly polarized wave can propagate in a frequency range between the electron cyclotron frequency and the plasma frequency. This frequency window allows the RF source power to efficiently deposit energy into the plasma and enable the formation of a higher plasma density than in a capacitively coupled plasma. A quartz plate at the outlet of the plasma source is used as a neutralization plate to prevent plasma- generated ions from reaching the sample, only allowing neutrals to diffuse to the reactor. The operation of the electron flood gun used is not compatible with the pressure of a remote plasma source. The flood gun during operation heats the filament to a temperature of 1800 K or higher to emit electrons and requires a vacuum level of at least 1?10-5 Torr to avoid filament burnout. Remote plasma operates in a low-end mTorr pressure range to introduce reactive neutrals to the reactor. The direct assembly of an electron flood gun and a remote 7 plasma source leads to an engineering concern where the reactive neutrals from remote plasma greatly erode the filament of the flood gun with the suppression of emission current. To prolong the lifetime of the electron flood gun, a differential pumping unit (DPU) with a pressure-limited aperture (PLA) was designed and installed onto the top flange of the reactor. The purpose of the DPU separates the flood gun from the reactor and pumps out gases near the filament through an exclusive pump, whereas the PLA limits the number of gases transported into the DPU. The assembly of the DPU and PLA provides a pressure ratio between the reactor (1?10-3 Torr) and the flood gun chamber (1?10-6 Torr) of about 1000. The sample holder regulates specimen bias and temperature. Most experiments did not apply substrate bias. The substrate temperature keeps at a temperature of 10 ?C to mitigate any thermal effect on the samples. Mass flow controllers (MFCs) were used to control the flow rate of precursors into the remote plasma source. Fig. 1.2. Schematic diagram of the reactor for studying electron beam-induced etching with the assistance of remote plasma 8 1.4 Characterization Methods 1.4.1 In Situ Ellipsometry In situ ellipsometry was used to collect psi and delta values with a sampling rate of 5 Hz, for evaluating surface modification and etching during a processing step. The wavelength of the ellipsometry laser is 632.8 nm (He-Ne laser). Applying an ellipsometric model to the collected data allows for interpreting the surface modification and calculating the thickness change rate, including etching rate (ER) and deposition rate. 1.4.2 X-Ray Photoelectron Spectroscopy (XPS) A Vacuum Generators ESCA Mark II surface analysis chamber with a non- monochromatic Al K? X-ray source (1486.6 eV) was used to analyze the surface chemistry of the processed material. The analysis was operated in a constant-energy analyzer mode with a 20 eV pass energy. Two emission angles at 20? and 90? were used to probe the surface and near- surface regions to differentiate the compositional variation with probe depth. The diameter of the analysis area was kept at 5-6 mm. The processed materials were delivered to XPS analysis through a vacuum transfer system to prevent any atmosphere contamination. 1.5 Processing Materials Thin material films on a Si substrate were used to evaluate the material ER or reactant deposition rate. The film material included Si3N4, poly-Si, SiO2, SiGe, and HfO2. Multilayer stacked samples were used to study etching selectivity. A SiO2-Si stack on a Si substrate can 9 enable the evaluation of etching selectivity of SiO2 over Si, where the same approach also applies to the SiO2-Si3N4, SiO2-SiGe, and HfO2-Si stacks. 1.6 Thesis Outlines This work is to explore the reaction mechanism of utilizing complex plasma chemistries for surface modification, so ions or electrons facilitate material desorption. These findings benefit the development of advanced plasma etching methods to address the technical challenges. In Chapter 2, we investigated the effect of FCs, HFCs, and a mixture of FCs and H2 in an ALE process toward the etching selectivity of SiO2 over Si3N4 and SiO2 over poly-Si, respectively. We show that the conventional approach using a mixture of precursors does not improve ALE performance but seriously degrades the etching selectivity. Selecting optimal precursor chemistry that directly functionalizes the surface property enables atomic-scale etching selectivity. In Chapter 3, we evaluated an approach that integrates substrate-selective deposition into an ALE sequence as a new approach of selective removal. The collected data show that the deposition yield is strongly related to the chemical affinity between the constituents of the precursors and substrate materials. Exploiting this feature facilitates the formation of a passivation layer on Si and the fluorination in HfO2, so a sequential etching step can enhance material removal and establish etching selectivity of HfO2 over Si. Chapter 4 focuses on an electron beam that activates SiO2 removal on the area tailored by Ar/CF4/O2 remote plasma. The previous two chapters discussed the ion-driven material removal 10 in a plasma reactor where the sheath potential causes material defects. The electron-neutral synergistic effect has been studied on SiO2 to understand the etching mechanism by differentiating the effect of electrons and neutrals. Chapter 5 investigated the new experimental configuration that consists of a remote plasma source and an electron flood gun for EBIE of SiO2, Si3N4, and poly-Si with the assistance of Ar/CF4/O2 precursors. A study of the processing parameters of the remote plasma and EB sources on the etching result allowed us to explore a processing window to enable selective material removal, including Si3N4 over SiO2 and poly-Si over SiO2. Chapter 6 summarizes the main findings and discusses future development. 11 Chapter 2: Achieving Ultrahigh Etching Selectivity of SiO2 over Si3N4 and Si in Atomic Layer Etching by Exploiting Chemistry of Complex Hydrofluorocarbon Precursors Journal of Vacuum Science & Technology A 36, 040601 (2018) K. Y. Lin, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, D. Metzler, and G. S. Oehrlein K.Y. Lin contributed to the design and conduction of the research, to the analysis of the experimental results, and to the writing of the manuscript. All authors discussed the results, commented on and made changes to the manuscript. ABSTRACT We demonstrate that complex hydrofluorocarbon (HFC) precursors offer significant advantages relative to gas mixtures of comparable elemental ratios for plasma-based selective atomic layer etching (ALE). This work compares mixtures of a fluorocarbon (FC) precursor and H2 with a HFC precursor, i.e. mixtures of octafluorocyclobutane (C4F8) with H2 and 3,3,3- trifluoropropene (C3H3F3), for SiO2 ALE and etching of SiO2 selective to Si3N4 or Si. For continuous plasma etching, process gas mixtures, e.g. C4F8/H2, have been employed and enable highly selective material removal based on reduction of the fluorine content of deposited steady- state HFC films; however this approach is not successful for ALE since hydrogen-induced 12 etching reduces the thickness of the ultra-thin HFC passivation layer which is required for both etching of SiO2 and passivation of the Si3N4 and Si underlayers, leading to lower materials etching selectivity. Conversely, our results show that C3H3F3-based ALE enables ultra-high ALE selectivity of SiO2 over Si3N4 and Si. The hydrogen in the precursor structure allows to reduce the fluorine content of the deposited HFC film without suppressing the formation of the passivation layer on the surface. Gas pulsing of complex reactive precursors in ALE provides the prospect of utilizing the precursor chemical structure for achieving high materials selectivity in ALE. 13 2.1 Introduction The development of atomic layer etching (ALE) approaches has many promising performance characteristics, including simple process steps, self-limited etching, improved uniformity, and potentially ultra-high materials selectivity required for advanced semiconductor manufacturing.25,12 Previously, we pointed out that utilization of the chemical nature of precursors may have significantly greater potential for ALE than conventional steady-state plasma etching, enabling greater control over surface reactions.12 Manipulating the chemical nature of precursors in an ALE process may open up a novel processing margin for Angstrom-level etch controllability not yet attainable. The interaction between plasma dissociated reactive gas and substrate significantly affects etching results, including etching selectivity and pattern transfer fidelity. In continuous wave (CW) plasma, the effect of leveraging the chemical structure of the precursor has comparable results as the effect of the precursor mixture. Li et al showed that the correlation between the CF2 fraction in the precursor gas and in the deposited film surface chemistry is less than the correlation between the CF2 fraction in the precursor gas and in the plasma gas phase obtained from optical emission spectroscopy (OES).26 Other studies also show that the effect of leveraging the content or molecular structure in hydrofluorocarbon (HFC) precursor is similar to the effect of fluorocarbon (FC) precursor gas mixing with hydrogen additives.27, 28 The recent publications in the FC-assisted ALE by Metzler et al5, 7, 8, 29, using C4F8 and CHF3 on SiO2 and Si etching, and Li et al30, using C4F8 and CHF3 on Si3N4 etching, demonstrates that the chemical structure of a precursor has a profound influence on the fluorine and carbon content deposited on the surface. The CHF3-based ALE resulted in a higher fluorination level on the Si3N4 surface 14 than C4F8, which is different from the observations in CW plasma. 30 CH3F-based ALE of Si3N4 has been investigated and established ALE selectivity of Si3N4 over Si. 31, 32 However, to our best knowledge, the study of comparing a HFC precursor to a FC precursor mixed with H2 in ALE has not been explored as yet. This article compares the ALE selectivity of SiO2 over Si3N4 and SiO2 over Si using a) a FC precursor, C4F8, b) a mixture of a FC precursor and H2, C4F8/H2, and c) a HFC precursor, C3H3F3. The detailed information regarding the chemical structure of the precursors used for this study is shown in Table 2.1. The experimental tests targeted ALE selectivity of SiO2 over Si3N4 and SiO2 over Si. 7, 30 The precursor deposited film thickness per cycle is designed to be thick enough to form a passivating layer on Si3N4 or Si, whereas the relatively higher carbon consumption rate of SiO2 leads to negligible film thickness on SiO 33, 34 2. Stacked SiO2-Si3N4- SiO2 and SiO2-Si-SiO2 on Si substrates were used. The material thickness loss after fully etching the top SiO2 layer before forming a passivation layer and stopping etch was used to evaluate the ALE selectivity for different precursors. The first part discusses the ALE behavior of SiO2 and Si3N4 and the corresponding Si3N4 thickness loss before the formation of an etch-stopping FC passivation layer. To study the correlation between the chemical structure of the precursor and the surface chemistry evolution, X-ray photoelectron spectroscopy (XPS) analysis of the materials at the end of two ALE process steps in one cycle, i.e. the FC deposition step and the etching step, was performed.5, 8 In the second part, SiO2 and Si etching were studied using an identical set of precursors and Si thickness loss before etch stop was determined. 15 Table 2.2 Molecular formula, name and structure of precursors used in this work. Molecular Formula Name Structure C4F8 Octafluorocyclobutane C3H3F3 3,3,3-trifluoropropene 2.2 Experimental The experimental setup closely matches our previous publications8, 30 and is briefly described here. We used an inductively coupled plasma (ICP) reactor excited at 13.56 MHz. The substrate was biased at the radiofrequency (RF) of 3.7 MHz. The plasma was confined within a 195 mm diameter anodized Al confinement ring. A 125 mm diameter Si substrate was located 150 mm below the top electrode on an electrostatic chuck. The base pressure before processing was in the 1 ? 10-7 Torr range, and the temperature of the 25 ? 25 mm2 sample was stabilized by a cooled chuck at 10 ?C during plasma processing. A load lock and vacuum transfer were used for all experiments in order to minimize environmental impacts during the sample exchange. The detailed configuration of the plasma system can be found in references 15 and 16.35, 36 Before each experiment, an O2 plasma based cleaning process and Ar plasma based conditioning process were employed to ensure that the condition of the reaction chamber remained consistent.37 Two sets of multi-layer stacked samples: a) SiO2-Si-SiO2 and b) SiO2-Si3N4-SiO2 deposited on a Si substrate enabled evaluation of etching selectivity of SiO2 over Si and SiO2 over Si3N4. The in- 16 situ ellipsometry with a He-Ne laser on the ICP reactor allowed for studying material thickness changes in real time.38 The ALE experiments were performed following the FC-assisted ALE procedure that is based on the use of a steady-state Ar plasma in conjunction with periodic injections of a defined number of precursor gases and synchronized plasma based Ar ion bombardment. The process sequence is similar to our previous work.7, 30 All plasma processes presented in this work operate with a source power of 200 W and a processing pressure of 10 mTorr along with a 50 sccm Ar carrier gas flow rate. The ALE process is comprised of a deposition step and an etching step. In the deposition step, a selected precursor was introduced with an injection length of 2 seconds to deposit a 10 ? thick FC film on the substrate. This step was followed by a 12 second purge step to pump out the residual reactive gas. In the etching step, a -15 V RF bias voltage was employed with an etching step length (ESL) of 18 seconds, accelerating energetic Ar ions with a maximum kinetic energy of 30 eV to sputter the deposited FC film and reacted layer. Since the deposition yield may be different for each material, the experiment was set to deposit a 10 ? thick FC film on SiO2 at the beginning cycles and keep a fixed amount of precursor injection during the following cycles. Three sets of precursor were explored, i.e. a) 2 sccm C4F8, b) 2 sccm C4F8 mixing with 3 sccm H2 and c) 2 sccm C3H3F3. To establish surface chemistry modification with regard to precursor chemistry and structure, XPS analyses have been performed at the end of the deposition and etching steps. The samples were transferred under vacuum to a Vacuum Generators ESCALAB MK II surface analysis system for XPS measurements. High resolution scans of the Si2p, C1s, O1s, N1s and F1s spectra were obtained at 20 eV pass energy at an electron take-off angle of 20? (shallow 17 probing depth ?20-30 ?) and 90? (deep probing depth ?80 ?) with respect to the sample surface. The spectra were calibrated by the binding energy position of the C-C peak to 284.5 eV and fitted using a least square fitting after Shirley background subtraction.39, 40 2.3 Results 2.3.1 SiO2 and Si3N4 ALE An in-situ ellipsometry model in the Delta-Psi space was formulated to simulate the top SiO2 and Si3N4 etching with corresponding accumulated FC film on the surface. This is shown in Figure 2.1(a). The gold solid arrow describes top SiO2 etching, and the dark red dotted line describes etching of the Si3N4 underlayer. The process condition is designed for etching top SiO2 followed by etch stop on Si3N4 by formation of a FC passivation layer on the surface. The dark cyan dashed lines in Figure 2.1(a) simulate the formation of the FC film with a given Si3N4 loss, such as 4 and 7 nm, which is used for evaluating the ALE selectivity. 18 100 7 nm Modeling (a) Si N 3 4 SiO on Si N Si N Loss 2 3 4 3 4 FC on Si N 3 4 95 4 nm Si N Etch 3 4 FC Build-up 90 Si N Etch3 4 FC Build-up 0 nm SiO -Si N 2 3 4 SiO 2 85 Interface Etch 15 20 Psi [?] 100 7 nm (b) Si N Loss 3 4 95 FC on Si N3 4 4 nm Experiment 90 C F4 8 C F /H 4 8 2 0 nm C H F 3 3 3 SiO SiO -Si N2 3 4 2 SiO 85 2 Si N Interface 3 4 15 20 Psi [?] FIG. 2.1. (Color online) (a) Ellipsometry modeling for SiO2-Si3N4-SiO2 stack sample with top SiO2 etching (gold, bottom SiO2 layer is not shown) and underlayer Si3N4 etching (dark red dotted line). The dark cyan dashed line describes the formation of a FC passivation layer with 4 and 7 nm Si3N4 loss before etch stop. (b) The experimental in-situ ellipsometry data of ALE with C4F8, C4F8/H2 and C3H3F3 superimposed on the ellipsometry model. The figure shows various 19 Delta [?] Delta [?] i N 4 s)3 n S lo s s) o C nm lo s F 7 ( nm (4 Si3N4 losses by using different precursors and essentially negligible Si3N4 loss in the case of C3H3F3. The in-situ ellipsometry data of ALE using C4F8, C4F8/H2 and C3H3F3 of SiO2-Si3N4-SiO2 stack sample were superimposed on the modeling results (Figure 2.1(b)). The ALE process conditions were the same as described in the beginning of this section, i.e. ~10 ? FC deposition thickness per cycle on SiO2, 18 second ESL, and -15 V RF bias voltage that creates Ar ions with a maximum energy of 30 eV. The black dots describe the C4F8-based ALE that removes the top SiO2 layer and gradually accumulates a FC film during the Si3N4 etching region. Etching of Si3N4 stops once the accumulated film is thick enough to prevent further erosion of the Si3N4 underlayer. The corresponding Si3N4 loss is used to represent ALE selectivity using C4F8. The C4F8/H2 ALE data (red dots) show that the top SiO2 layer is also completely removed and a FC passivation layer forms with more Si3N4 consumption in comparison to C4F8 ALE. On the other hand, C3H3F3-based ALE (blue dots) shows a quick formation of a FC passivation layer with negligible Si3N4 thickness loss after top SiO2 etching. Each of these three precursors enabled continuous ALE of SiO2 and accumulated FC passivation on the Si3N4 surface that prevents further Si3N4 loss. The SiO2 and Si3N4 layer thickness at the end of each cycle using C4F8, C4F8/H2 and C3H3F3 ALE is shown in Figure 2.2(a). The ellipsometry model shown in Figure 2.1(a) was applied to fit the experimental in-situ ellipsometry data and measure the SiO2 and Si3N4 thickness change. For SiO2 etching, C4F8 and C4F8/H2-based ALE gave higher SiO2 thickness etched per cycle (EPC) than C3H3F3. Si3N4 EPC showed a strong dependence on the gaseous 20 precursor used for ALE. C4F8 mixing with H2 exhibited the highest Si3N4 EPC, followed by C4F8 and C3H3F3. The use of a C3H3F3-based ALE process shows minimum Si3N4 loss by rapid formation of a FC passivation layer, whereas the C4F8/H2 mixture using identical process condition increases Si3N4 material loss. A comparison of the amounts of net Si3N4 loss for C4F8, C4F8/H th 2 and C3H3F3-based ALE at the end of the 28 cycle, when C3H3F3-based ALE obtained a full etch stop, is shown in Figure 2.2(b). 21 20 SiO (a) 2 10 0 Si N -10 3 4 -20 -30 -40 FC 10 ?/cycle, -50 ESL 18 sec C F = 2 sccm 4 8 -60 C F /H = 2/3 sccm 4 8 2 -70 C H F = 2 sccm 3 3 3 0 5 10 15 20 25 Cycle 80 (b) 70 60 50 40 30 20 10 0 C F C F /H C H F 4 8 4 8 2 3 3 3 2 sccm 2/3 sccm 2 sccm FIG. 2.2. (Color online) (a) SiO2 and Si3N4 layer thickness at the end of each ALE cycle using C4F8, C4F8/H2 and C3H3F3, respectively. Si3N4 etching stops at various thicknesses. (b) The amount of Si3N4 thickness loss before etch stop for three conditions. To evaluate surface chemical aspects of these processes, XPS spectra for Si3N4 ALE using C4F8, C4F8/H2 and C3H3F3 at the end of the deposition and etching steps were obtained. 22 Si N Loss [?] SiO /Si N Thickness [?] 3 4 2 3 4 Scans were performed at the beginning of Si3N4 etching after the removal of the top SiO2 layer, for example, the 8th cycle for C F , the 9th4 8 cycle for C4F8/H2 and the 12 th cycle for C3H3F3 in Figure 2.2(a). The data shown in Figure 2.3 summarizes the Si2p, C1s and F1s spectra for the set of precursors. XPS intensity difference spectra corresponding to XPS data after etching and deposition steps, respectively, are shown. The Si2p spectra were fitted using fluorinated silicon nitride (Si-NF) and fluorinated silicon oxide (Si-OF) at 102.4 eV and 104.2 eV, respectively.41 The C1s spectra were fitted using C-C/C-H, C-CFx, C-F/C-O, C-F2 and C-F3 at 284.5 eV, 286.2 eV, 287.9 eV, 290.2 eV and 292.6 eV, respectively.5 The F1s spectra were decomposed into F- SiON and F-C at 686.8 eV and 687.8 eV, respectively.39, 42-44 XPS intensity differences45 between after etching and deposition data for C4F8, C4F8/H2 and C3H3F3 were evaluated to clarify the extent of surface reaction by Ar ion bombardment. The spectra were normalized by the total areal intensity of all elements corrected with the relative sensitivity factors on the surface, including Si2p, C1s, N1s, O1s and F1s.46 23 o o o Si2p 20 C1s 20 F1s 20 After Deposition (a) (b) F-C (c) After Etch Si-NF Si-OF C-CF F-SiON X C-C/C-H C-F/C-O C-F 2 C-F 3 (d) (e) (f) (g) (h) (i) [Etch-Deposition] (j) (k) (l) 98 100 102 104 106 108 282 285 288 291 294 684 686 688 690 Binding Energy [eV] Binding Energy [eV] Binding Energy [eV] FIG. 2.3. (Color online) Si3N4 XPS spectra obtained at a take-off angle of 20? that compare surfaces after ALE using (a-c) C4F8, (d-f) C4F8/H2 and (g-i) C3H3F3 precursors at the end of deposition and etching steps, respectively. XPS difference spectra formed by subtracting XPS data after the etching step from the data after the deposition step are also shown for the three chemistries (C4F8 (black), C4F8/H2 (red) and C3H3F3 (blue)). The spectra include (j) Si2p, (k) C1s and (l) F1s. 24 Intensity Difference C H F =2 sccm C F /H =2/3 sccm C F =2 sccm 3 3 3 4 8 2 4 8 A comparison of the F/C ratios calculated from the C1s and F1s/C1s spectra at a take-off angle of 20? with C4F8, C4F8/H2 and C3H3F3-based ALE at the end of deposition and etching steps, respectively, is shown in Figure 2.4(a). The F/C ratios from the C1s spectra measures the fluorine content in the deposited FC layer by calculating the area percentage of weighted C-F, C- F2 and C-F3 peaks in the spectra. 30, 41 The ratio of F1s/C1s spectra measures the fluorine content in both FC layer and underlying Si N .5, 413 4 By observing the difference between the F/C ratios from the C1s spectra and F1s/C1s spectra, the fluorine reacted with the underlying Si3N4 was evaluated. Figure 2.4(b) measures the thickness of the HFC film remained on the Si3N4 surface after the Ar ion bombardment by angle-resolved XPS (AR-XPS) using the Si2p signal attenuation obtained from 20? and 90? take-off angles.30, 41 The C1s spectra after FC deposition in Figure 2.3(b) show that C4F8 leads to strong C- F/C-O, C-F2 and C-F3 peak intensity, whereas mixing H2 with C4F8 in Figure 2.3(e) produce surfaces that exhibit relatively low C-Fx peak intensity. Importantly, C3H3F3 overall minimizes the C-Fx peak intensities, i.e. fluorine content, for the deposited HFC film shown in Figure 2.3(h). The comparison of the F/C ratios from the C1s spectra after deposition step also shows that C4F8-based FC film has the highest fluorine content, followed by C4F8/H2 and C3H3F3 (see Figure 2.4(a)). Since the ratio of F1s/C1s spectra is almost identical to the F/C ratios from the C1s spectra, most of the fluorine measured is from the deposited film, and has not reacted with the underlying Si3N4. The C1s spectra and F/C ratios confirm that the precursor chemistry used for ALE controls the fluorine content in the deposited film. The results also agree with the study by Li et al, suggesting that gaseous precursors with same degree of unsaturation or high F/C ratio can lead to fluorine-rich deposited FC films.26 25 C F C F /H C H F 4 8 4 8 2 3 3 3 (a) 2 sccm 2/3 sccm 2 sccm 1.0 F/C F/C F/C from C1s from C1s from C1s F1s/C1s F1s/C1s F1s/C1s 0.8 0.6 0.4 0.2 0.0 0 18 0 18 0 18 Time within Cycle [second] 20 (b) 15 10 5 0 C F C F /H C H F 4 8 4 8 2 3 3 3 2 sccm 2/3 sccm 2 sccm FIG. 2.4. (Color online) (a) A comparison of the F/C ratios from the C1s and F1s/C1s spectra with ALE using C4F8, C4F8/H2 and C3H3F3 at the end of deposition and etching steps, respectively. (b) The thickness of the HFC film remained on the Si3N4 surface at the end of etching step for the three precursors. 26 Thickness of HFC layer on Si N [?] F/C Ratio 3 4 FC film defluorination and reacted material removal take place for C4F8, C4F8/H2 and C3H3F3-based ALE during the etch step. The C1s spectra show the intensity reduction of the C- Fx peaks in Figure 2.3(b), (e) and (h). The intensity of the C-C/C-H peak increase is connected with the FC film defluorination during etching, since the release of fluorine from the film contributes to the formation of a carbon rich layer.5, 47, 48 C3H3F3 showed a stronger C-C/C-H peak intensity after the etching step, implying that a carbon rich passivating layer remains on the surface in comparison to C4F8 and C4F8/H2. The F/C ratio from the C1s spectra after etching step (see Figure 2.4(a)) also shows the reduction of the fluorine content in the deposited HFC film. At the same time, the etching step also reduces the deposited FC film thickness, so the underlying Si3N4 will be exposed more to the fluorine etchant, introducing fluorination and etching of the reacted Si N .53 4 The Si2p and F1s spectra after C4F8?based ALE (see Figure 2.3(a) and (c)) exhibit increased Si-NF and Si-OF peak intensities after the etching step. The F/C ratios from the F1s/C1s spectra is relatively higher than the ratios from the C1s spectra after etching step (see Figure 2.4(a)) supports that the fluorine etchant reacts with the underlying Si3N4 and results in Si3N4 fluorination. With the confirmation from the AR-XPS FC thickness measurements (Figure 2.4(b)), we could conclude that etching of the FC film and fluorination of the Si3N4 underneath takes place. Comparison of C4F8, C4F8/H2 shows less remained HFC film and higher fluorination of the underlying Si3N4. Surfaces after C3H3F3-based ALE show least etching of the FC layer and negligible fluorination of the underlying Si3N4. The XPS difference spectra45 after FC film deposition and etching for C4F8, C4F8/H2 and C3H3F3 were used to clarify the extent of surface reactions and are shown in Figure 2.3(j-l). The spectra were normalized to the sum of the Si2p, C1s, N1s, O1s and F1s areal intensities corrected 27 with the relative sensitivity factors.46 The C1s difference spectra in Figure 2.3(k) confirms that C3H3F3-based ALE deposits contain the minimum amount of fluorine in the HFC film, whereas the use of H2 reduces both the F/C ratio of the deposited film and overall amount of the FC layer as compared to C4F8. The fact that H2 enhances the removal of the remaining FC film for the Si3N4 surface and causes less FC film coverage can be seen from the lowered intensity of the C- C/C-H peak. The fluorination level of the underlying Si3N4 due to the fluorine etchant released from the deposited FC film as a result of Ar ion bombardment is mirrored by the areas of the Si-NF, Si-OF and F-SiON peaks in the Si2p and F1s intensity difference spectra shown in Figs. 2.3(j) and 2.3(l). In the F1s intensity difference spectra, C4F8 and C4F8/H2 shows strong fluorination on the underlying Si3N4, which is the reacted layer that will be etched in the etching step, whereas C3H3F3 shows little SiON-F peak formation. The formation of the F-SiON peak in the F1s spectra may be correlated with the Si3N4 loss before formation of a FC passivation layer and etch stop. Since Ar ion bombardment during the etching step causes removal of fluorinated products, the Si3N4 loss is positively correlated to the F-SiON peak area of the material. The XPS difference spectra qualitatively agree with the SiO2 and Si3N4 thickness changes measured by ellipsometry. For C4F8 and C4F8/H2-based ALE, more Si3N4 loss is required before a sufficiently thick FC passivating layer is established. The classical concept of gas mixing by combining C4F8 and H2 does not reduce the material loss for FC-assisted ALE because of the strong loss of the surface passivation layer as a result of hydrogen induced etching of the FC film. 28 2.3.2 SiO2 and Si ALE Selective ALE of SiO2 over a Si underlayer was examined using the identical set of precursors just discussed for SiO2-Si3N4?SiO2 stacks. Results for SiO2-Si?SiO2 stacks are shown in Figure 2.5(a). For the FC assisted ALE based on C4F8, the Si thickness loss before establishing a sufficiently thick FC film to stop Si removal is about 9 ?. When C4F8 was mixed with H2, a higher thickness EPC of SiO2 and Si is observed and the Si thickness loss increases to ~40 ? at the 30th cycle. For C3H3F3-based ALE, a comparable SiO2 EPC to that when using C4F8 is observed. However, in this case a reduced Si EPC is seen for C3H3F3 once the process starts to etch Si. No more Si loss or Si EPC reduced to a negligible amount of less than 1 ? is observed after the 19th cycle. This shows that for a C3H3F3 ALE precursor a HFC passivation layer can rapidly build up and prevent Si loss. For a selective SiO2 ALE process, the Si thickness loss should be minimal. The precursor dependence of Si thickness loss before Si etching stop is shown in Figure 2.5(b). C3H3F3 has the minimum Si thickness loss (~2 ?) as compared to the other precursors examined (C4F8 ~10 ? and C4F8/H2 ~40 ?). This result reveals that high ALE selectivity can be achieved by optimizing the chemical structure and composition of the reactive film using different precursors. Our result also suggest that the concept of precursor mixing used in continuous plasma etching for improving SiO2 over Si ALE selectivity is ineffective for FC-assisted ALE since the times required for suppressing substrate etching are impractically long. 29 20 SiO FC 10 ?/cycle, ESL 18 sec (a)2 C F = 2 sccm 4 8 10 C F /H = 2/3 sccm4 8 2 C H F = 2 sccm 3 3 3 0 FC Build-up Si -10 -20 -30 SiO 2 -40 Si 0 5 10 15 20 25 30 Cycle 50 (b) 40 30 20 10 0 C F C F /H C H F 4 8 4 8 2 3 3 3 2 sccm 2/3 sccm 2 sccm FIG. 2.5. (Color online) (a) SiO2 and Si thicknesses at the end of each ALE cycle using C4F8, C4F8/H2 and C3H3F3 precursors. (b) Precursor chemistry dependence of Si thickness loss prior to Si etch stop as the result of formation of a passivation layer. 30 Si Loss [?] SiO /Si Thickness [?] 2 2.4 Discussion The chemical nature of the precursors in ALE has a more profound influence than that in a CW plasma to form a passivation layer with appropriate chemical makeup and achieve etching selectivity of materials. In CW plasma, etching selectivity is frequently achieved by mixing etching precursors and gas additives to control the thickness and chemistry of the deposited film and thus regulate etching behavior of selected materials.40, 49-54 Since reactive gases are continuously supplied into the chamber, the films formed on the surface are constantly being reformed and a steady-state surface film thickness forms.40 For C4F8/H2 CW plasma, the etching selectivity of SiO2 over Si can be achieved, since the H2 reduces the fluorine content of the steady-state passivation film formed on the surface by fluorine abstraction.50, 54-56 This can also lead to an enhancement of the FC film thickness on the Si surface which reduces penetration of etchant to the substrate.35, 40, 41 Our results show that the concept of mixing FC precursors with H2 in ALE does not enhance materials etching selectivity and increases substrate material losses. In an ALE approach, the injection of H2 can reduce the fluorine content of the FC layer on the surface but also decreases the overall thickness of the FC passivation layer, thus reducing etching selectivity. The XPS C1s difference spectra (see Figure 2.3(k)) show that the C-C/C-H peak intensity with C4F8/H2 is significantly lower than the intensity with C4F8, implying less FC film remaining on the surface after the etching step, which can be confirmed from the difference of F/C ratios and AR-XPS thickness. For the C3H3F3 precursor, we observed enhanced fluorine reduction of the deposited HFC layer on the surface without excessive thinning of the HFC passivation layer thickness as seen in the case of C4F8/H2 mixtures. For instance, the intensity of the C-C/C-H peaks of films deposited using C3H3F3 is comparable or slightly higher than the 31 intensity of the same peaks for C4F8 (see Figure 2.3(k)). Simultaneously, the use of C3H3F3 enables ALE of SiO2 and Si3N4 or Si underlayer material losses at the Angstrom level. 2.5 Summary and Conclusions In this work, the potential of utilizing a complex molecular precursor for achieving ultra- high etching selectivity in ALE of SiO2 over Si3N4 or Si was studied by comparing its performance with gas mixtures, i.e. C3H3F3 relative to C4F8/H2. In contrast to CW plasma etching where use of gas mixtures is common and can be used for highly selective material removal, we find that this approach fails for ALE. In the case of CW plasma, the tailoring of the chemistry of a constantly refreshed steady-state surface passivation is required. This approach fails for the case of C4F8/H2-based ALE. H2 addition to C4F8 does not enhance materials etching selectivity and instead leads to more Si3N4 or Si loss. Our surface characterization data show that H2 not only reduces the C-Fx group content of the HFC deposited film, but also reduces the thickness of this reaction layer and leaves a greater amount of unbonded fluorine (F1s) which can readily react with the Si3N4 or Si surfaces during Ar bombardment. On the other hand, C3H3F3-based ALE enables reduced fluorine content of the deposited film without suppressing the formation of a HFC passivation film on the substrate, and results in ultra-high ALE selectivity with Angstrom level material loss. This work suggests that utilizing the molecular structure of HFC precursors in ALE offers significant advantages for achieving materials selective ALE. 32 2.6 Acknowledgments Substrates were produced in the Microelectronics Research Laboratory (MRL) at the T. J. Watson Research Center in Yorktown Heights, NY. The authors gratefully acknowledge the financial support of this work by the Semiconductor Research Corporation (2017-NM-2726). The authors thank A. Knoll, P. Luan and A. Pranda for helpful discussions and collaboration. We also thank H.C. Chen from Taiwan Semiconductor Manufacturing Company, H. Cottle from Tokyo Electron Limited, J. Tan from Intel, and C. Labelle and C. Park from GLOBALFOUNDRIES for valuable suggestions on this work. 33 Chapter 3: Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A 38, 032601 (2020) K. Y. Lin, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, D. Metzler, and G. S. Oehrlein K.Y. Lin contributed to the design and conduction of the research, to the analysis of the experimental results, and to the writing of the manuscript. All authors discussed the results, commented on and made changes to the manuscript. ABSTRACT The early work of John Coburn and Harold Winters revealed a synergistic effect in ion- enhanced Si etching by the concurrent irradiation of Ar+ and XeF2. This finding provided an important foundation for the development of plasma dry etching processes. The experimental results of Coburn and Winters also found effects that are useful for the development of atomic layer etching (ALE) processes characterized by a self-limited etch rate. ALE approaches are widely established and can be utilized in either directional or isotropic etching by employing proper surface modification and product removal steps. Nevertheless, the development of material selective ALE processes is still limited. An approach that combines substrate-selective deposition with etching opens a new processing window for selective ALE. We studied the 34 deposition behavior of mixtures of methane (CH4) with trifluoromethane (CHF3) and mixtures of methane with octafluorocyclobutane (C4F8), on HfO2 and Si surfaces. The experimental results show that a CH4/C4F8 mixture produces a comparable FC deposition thickness on both HfO2 and Si during the deposition step. In contrast, a CH4/CHF3 mixture deposits a FC film on Si, whereas it fluorinates the HfO2 surface with negligible FC deposition. Utilizing these behaviors allows for an ALE process based on CH4/CHF3 for selective removal of HfO2 over Si. Surface characterization data that provide mechanistic insights on these processes are also provided and discussed. 35 3.1 Introduction The work of John Coburn - to whom this special issue is dedicated - and his colleague Harold Winters has provided a foundation for the understanding of radiation-enhanced etching reactions.57-59 In Figure, 3.1(a) we reproduce the classic plot of ion-neutral synergy in ion- enhanced steady-state etching published by Coburn and Winters57 and discuss how their quintessential experiment teaches how to perform atomic layer etching (ALE) based on ion stimulation. They studied the etch rate (ER) of amorphous Si subjected to fluxes of XeF2 gas alone, a 450 eV Ar+ beam and XeF2 together, and the 450 eV Ar + beam alone. When both the XeF2 and Ar + are simultaneously incident on the Si surface, the synergistic effect leads to a high ER of Si that exceeds the sum of the ERs obtained with each beam separately. Light mass ions, such as Ne+ and He+, with a different modification reactant, Cl2, also show a synergistic effect in the ER on Si.60 These findings show that using surface modification and ion bombardment concurrently on the surface enhances the ER, and provides an important foundation for directional plasma-based dry etching processes.61 Self-limited ALE is based on a temporally decaying neutral-ion synergy effect that vanishes as the neutral surface coverage disappears. This process is also evident in the original result of Coburn and Winters. In addition to the synergistic effect during simultaneous neutral- ion exposures, the original plot of Coburn and Winters in Figure 3.1(a) also shows the influence of changes in neutral coverage and evidence of how self-limited etching may be achieved during the transitions. The excess fluorination of the amorphous Si formed during the XeF2 gas-only experiments results in an initial increase of the Si ER as both the Ar+ and XeF2 interact with the 36 Si surface as compared to the steady-state. One possible interpretation is that a steady-state ER of Si is only established once the rate of surface fluorination and Si removal reaches a stable situation. Another example is the transition from having both the Ar+ and XeF2 present to just Ar+ beam bombardment only. The temporal data show how the Si ER is significantly reduced with processing time until finally a removal rate of Si solely corresponding to physical sputtering is reached. The reduction of the Si ER is due to the removal of residual fluorination of the Si surface during the period when Ar+ ion beam irradiation is performed. Such etching behavior is similar to a typical ALE process as shown in Figure 3.1(b), where the surface with precursor exposure undergoes chemical modification and the subsequent Ar+ bombardment removes one or multiple reacted layers. In fact, a combination of XeF2 gas injection in step 1, followed by Ar + beam irradiation in step 2, is consistent with the ALE method, as shown in Figure 3.1(a) and 3.1(b). The experimental result published by Coburn and Winters uncovers not only the synergistic effect but also contains information on how self-limited ion-based ALE may be achieved. Clearly, this requires reducing the Si ER to a diminished value during the surface functionalization step, e.g. by lowering temperature in the case of F-based Si etching or using Cl2 or other halogens to suppress spontaneous Si etching. Secondly, low energy ion bombardment is helpful to reduce the physical sputtering rate. Nevertheless, this rate is small relative to the initial ER seen during step 2. 37 (a) Excess fluorination Step 1 Step 2 Self-limiting Step 1 Step 2 (b) Precursor Ar Exposure + + + Adsorbed Layer Purge Purge Substrate Substrate Fig. 3.1 (Color online) (a) Ion-enhanced etching of amorphous-Si using XeF2 gas and a 450 eV Ar+ beam, from Coburn and Winters. Reprinted with permission from ref (57). Copyright 1979 American Institute of Physics. (b) Schematic illustrating a typical ALE sequence that is similar to the concept of the early work published by Coburn and Winters (redrawn after Oehrlein et al12). 3.2 Technical Motivation Review ALE approaches offer advantages that include simplified process steps, self-limited etching, pattern transfer fidelity, and potentially ultra-high etching selectivity for the future 38 development of integrated circuits (ICs).12, 14, 15, 62, 63 This approach is now widely established. In addition to ions4, 8, 33, light64, 65, electrons21-23, 57, 66, or heating67-70 is used as an alternative for product removal. For example, Athavale and Economou demonstrated Si ALE using chlorine gas that chemisorbs on the Si surface. The sequential Ar+ beam sputters away a monolayer of the chlorinated Si.4 Additionally, it is possible to perform the surface modification step using ions to change the material in a directional fashion, and then apply thermal techniques for product removal. Isotropic ALE can be conducted in a manner where precursor gases and/or radicals are used for surface modification to modify the material followed by a ligand exchange or heating process to remove the product.71, 72 All of these ALE approaches need to fulfill the processing requirement of selective removal. The following section will discuss the mechanism of establishing selective ALE and its limitations. Since the integration of substrate-selective deposition and etching potentially opens a new window for selective ALE, a brief summary of recent studies in area selective deposition (ASD) is included as well. In the last section, we will investigate the selective ALE based on the substrate-selective deposition. 3.2.1 Achieving Material Etching Selectivity in ALE. Material etching selectivity in ALE can be based on different mechanisms. For instance, Cl2-based ALE of Si uses the thermodynamically favorable chlorination of Si, so a sequential low energy Ar+ bombardment step allows for the selective removal of the chlorinated Si layers but not SiO2 or unreacted Si. 2-4 Consistent with this concept, Kanarik et al suggested that when reactants chemically modify an etching material, the modified material has lower bond energy 39 than the non-etching material. The energy difference provides a processing window to achieve material selective ALE.73 If this approach is feasible for every etching process, the selectivity of ALE should be easily obtainable. Silicon-to-SiO2 etching selectivity is easily achieved due to a higher energy threshold of chlorinating SiO2 (230 kJ/mol), compared with that of chlorinating Si (-159 kJ/mol). 1 Nevertheless, using a Cl2-based precursor to weaken the binding energy of the etching material has limitations, for example, etching SiO2 with selectivity to Si is not feasible. Metzler et al developed a fluorocarbon (FC)-based ALE process, composed of a cyclic sequence of FC deposition and etching steps, to realize as self-limited layer-by-layer etching of SiO2. 8, 33, 74 In the deposition step, an injected FC reactant is dissociated and then deposits a thin FC layer on the SiO2 surface, fluorinating the underlying material. The subsequent etching step employing low energy Ar+ ion bombardment induces further mixing and enables removal of the fluorinated layer.75 FC-based ALE processes are capable of achieving the etching selectivity of SiO2 over Si6-8 based on a much higher FC consumption rate of SiO2 as compared to Si. By optimizing the processing parameters, including deposition thickness, substrate bias power and etching step length (ESL), it enables a steady etch amount per cycle (EPC) of SiO2 while simultaneously building up a thick FC passivation layer on top of Si to mitigate material loss. Many papers discussed the processing parameters in FC-based ALE regarding the selectivity of SiO /Si5, 7, 76, 2 77, SiO /Si N 30, 77, 782 3 4 , and Si3N4/Si 31, 32. However, FC-based ALE requires a transition for building up a passivation layer on the non-etching area, which naturally causes some degree of material loss. Huard et al modeled this 40 transient behavior for ALE of SiO2 and Si3N4 by varying the deposition thickness and ESL. 77 Under the optimal ratio of the deposition thickness and ESL, a FC-based ALE can quickly develop a passivation layer on Si3N4 while maintaining a steady-state EPC of SiO2. In addition to parameter optimization, surface pretreatment can be used to minimize this transient duration or to control etching selectivity. Gasvoda et al investigated a selective organic functionalization on SiO2, but not on Si3N4, for controlling the EPC. 79 The SiO2 surface is functionalized using bis(dimethylamino)dimethyl silane in the gas phase, which reacts with the surface ?SiOH groups in a self-limiting manner. After this reaction, the SiO2 surface is formed with Si-CH3 groups, whereas Si3N4 is not functionalized. Since the Si-CH3 groups on SiO2 prompt the formation of a passivation layer, such surface functionalization demonstrates hydrofluorocarbon (HFC)-based ALE of Si3N4 with selectivity to SiO2. Fundamentally, selectivity can be obtained for material systems that have also demonstrated selectivity in continuous wave (CW) plasmas. The above-mentioned approaches do not work well for etching high dielectric (high-k) materials, such as HfO2, with selectivity to Si because of the low volatility of halogen-terminated etching products, e.g. fluorinated HfO 802. Even with Cl2 or BCl3 etchants, HfO2 etching still requires a certain level of ion energy81-83 or an elevated substrate temperature84, 85 to facilitate the removal of etching byproducts. These methods inevitably cause extra Si losses, deteriorating the etching selectivity of HfO2 to Si. Moreover, emerging non-volatile memory (NVM) applications increasingly adapt HfO2 in resistive random-access-memory (ReRAM), where the etching recipe containing Cl2-based chemistries potentially induces a serious concern for corrosion. 86 Thus, there is a need to develop a new selective ALE approach. Some pioneering studies73, 87 showed a concept that integrates area selective deposition with etching to achieve etching selectivity. The 41 selective deposition step builds up a passivation layer on the non-etching area, allowing the subsequent etching step to remove the material in the etching area. We will briefly summarize the recent progress in area selective deposition (ASD) before discussing an ALE approach that utilizes substrate-selective deposition. 3.2.2 Area Selective Deposition ASD is a bottom-up approach that features self-aligned patterning by selective deposition on the surface of specific materials. The selectivity of ASD is based on the seeding energy difference between the growth and the non-growth area. Film deposition takes place immediately when the surface is favorable for the nucleation and growth of precursor, whereas the non- growth area has an energy barrier for formation of nuclei. The deposition selectivity, however, is incrementally degraded as precursor begins to arrive at the substrate surface, so an approach to reinforce the selectivity is required. These approaches include, but are not limited to a) sample pretreatment to prolong the incubation time88-91, b) non-growth area deactivation92-99 by self-assembled monolayers (SAMs), c) ASD by surface activation100, 101, d) ALD super-cycles with an inhibitor step90, e) super-cycles consisted of an etching step to restore an incubation time on the non-growth area102-107, among others. One of the examples for non-growth area deactivation by SAMs is shown in Figure 3.2. The SAM comprises a head group and tail, where the head group selectively deposits on the non- growth area and the tail is chemically inert to ALD precursors. F. Hashemi et al used octadecylphosphonic acid self-assembled monolayers (ODPA SAMs) to form a blocking layer on the surface of copper, including CuO, Cu2O and Cu, but the SAMs did not chemically adsorb 42 on SiO2. The sequential ALD process is allowed to deposit a dielectric film on the patterns. An etchant, then, is applied for selectively removing the SAMs and any residual deposited dielectric film on the Cu surface, without the interference of the dielectric film on SiO2. Fig. 3.2 (Color online) is a schematic illustrating ODPA SAMs that deactivate the ALD processing on Cu oxide, enabling a dielectric film deposited on SiO2. The sequential treatment of the acid solution selectively removes the SAMs. Reprinted with permission from ref (96). Copyright 2015 American Chemical Society. Besides surface deactivation by SAMs, area selective ALD (AS-ALD) by super-cycles that combine with a selective etching step has been extensively studied. The processing schematic is shown in Figure 3.3. For example, Vallat et al introduced a super-cycle of the NF3/O2/Ar etching step in the Ta2O5 ALD process to remove an undesired Ta2O5 layer on the Si non-growth areas and reestablish selective deposition.108 Furthermore, the non-growth area can be chemically deactivated by an inhibitor prior to ALD steps to prolong an incubation time. Mameli et al demonstrated the use of an acetylacetone inhibitor suppressing the seeding of the SiO2 ALD precursor, bis(diethylamino)silane, on the Al2O3 surface. 90 A combination of an inhibitor step prior to an ALD process and super-cycles with an etching step potentially can 43 maximize the deposition selectivity. Several literatures104, 109-112 have detailed and thoroughly reviewed the recent developments in AS-ALD. Fig. 3.3 (Color online) the selectivity of AS-ALD is improved by introducing super-cycles of a selective etching step. Reprinted with permission from ref (104), https://doi.org/10.1021/acs.chemmater.8b03454 . Copyright 2018 American Chemical Society. 3.2.3 Substrate Selective Deposit-Based ALE Once ASD and/or AS-ALD can precisely cover a given area, it enables substrate selective deposit-based ALE. The deposited film can be used for coating a passivation layer on the non- etching area for the improvement of etching resistance. A sequential etching step easily removes the material in the etching area where there is no deposition film. A novel way of selective ALE utilizing selective deposition and etching steps is potentially feasible. (To clarify the terminology used in this section, ASD can be used for describing the deposition selectivity that is derived from the different substrate materials or positions, e.g. the sidewall relative to the top of a fin structure. In substrate-selective deposition, the selectivity is achieved between different substrate materials. For the evaluation of selective ALE, the approach of substrate-selective deposition is 44 more favorable.) Exploiting the phenomenon of material selective deposition to achieve selective ALE has not yet been demonstrated. In this work, we study a FC-based ALE approach that involves material selective deposition73, 87 for etching HfO2 with selectivity to Si. FC-based continuous wave (CW) plasma hardly etches HfO2 due to the low volatility of etching byproducts. Hafnium tetrafluoride (HfF4) has a boiling point of 970 ?C under one atmosphere, whereas the boiling point of fluorinated Si (SiF4) is -86 ?C. 18, 113, 114 The nature of FC-based CW plasma restricts the processing window to achieve etching selectivity of HfO2 over Si, even with H2 additives to enhance fluorine abstraction and increase the HFC deposition yield on Si.18, 80, 115 ALE based on sequential processing steps, i.e. cyclic deposition and etching steps, involves non-steady state plasma-surface interactions, since the reactants are not constantly supplied to the substrate surface. The chemical structure of precursors significantly affects the plasma surface interaction.12, 16, 76, 116 Takahashi and Ono studied etching products of HfO2 using Ar/C4F8 and Ar/C 113, 4F8/H2 CW plasmas by quadrupole mass spectroscopy (QMS). 115 Their results suggested that H2 additives in a FC plasma prompt the evaporation of fluorinated HfO2 products by forming HfCH + x (x = 0-4) and HfHxF + (x = 0-2) organometallic volatile products and enhance the deposition yield on Si. CHF3-based ALE delivers a higher deposition yield on Si than SiO 52. These results indicate that evaluating the surface interactions of HFC/FC precursors on HfO2 during an ALE process may be promising with regard to exploiting differences in HFC film formation on HfO2 as compared to Si towards establishing HfO2/Si etching selectivity. The first part of this work evaluates the deposition behavior of HFC/FC mixtures on HfO2 and Si for ALE sequences. The experiments are mainly focused on the thickness evolution 45 of the deposited HFC films. X-ray photoelectron spectroscopy (XPS) analysis is also used to understand the surface chemistry evolution related to the chemical structure of the studied precursors at each step. The second part of this work focuses on applying FC-based ALE to achieve selective ALE of HfO2 relative to Si-based on the phenomenon of substrate selective deposition. A brief summary discusses the relation between the major reactive species generated from the HFC/FC plasmas and surface interactions at the conclusion of this paper. 3.2 Experimental The experimental setup closely matches that used in our previous publications8, 30, 76 and is briefly described. This work was conducted using an inductively coupled plasma (ICP) reactor. A stovetop-like, water-cooled coil with a diameter of 16 cm above a 19-mm-thick quartz coupling window was powered by a 13.56 MHz power supply with an L-type matching network. The plasma was confined within an anodized Al confinement ring with a 195 mm diameter. A Si substrate with a 125 mm diameter was located 150 mm below the top electrode on an electrostatic chuck and can be independently biased at the radiofrequency (RF) of 3.7 MHz. The base pressure achieved before processing was in the 1 ? 10-7 Torr range. The temperature of the 25 ? 25 mm2 sample was stabilized by substrate backside cooling at 10 ?C during plasma processing. To minimize environmental impacts during the sample exchange, a load lock and vacuum transfer system was used for all experiments. The detailed configuration of the plasma reactor can be found in the references35, 36. Before each experiment, O2 plasma-based cleaning and Ar plasma-based conditioning processes were employed to ensure that the condition of the reaction chamber remained consistent.37, 117 An in situ ellipsometer with a He-Ne laser operating 46 at a wavelength of 632.8 nm mounted on the plasma reactor allowed us to study material thickness changes in real-time.38 The sampling rate of the ellipsometer was set to 5 Hz (0.2 seconds per point) for all experiments. Two sets of multi-layer stacked samples: a) ALD-HfO2/Si/SiO2 with a thickness of 3/10/30 nm and b) Si/SiO2 with a thickness of 5/30 nm deposited on a Si substrate were used to evaluate the deposition behavior on HfO2 and Si surfaces. Annealed HfO2, which exhibits higher etching resistance compared to ALD-HfO2 due to its higher degree of crystallinity, was also employed.118, 119 The material is widely used as gate material because of the high thermal stability and better electrical characteristics, compared to those of SiO2 and ALD-HfO . 120 2 In order to study the etching performance, including the ability to etch annealed HfO2 and etching selectivity of annealed HfO2 relative to Si, we also prepared annealed HfO2/Si/SiO2 by rapid thermal annealing (RTA) in a furnace at 700 ?C in N2 flow at 2 Torr for 30 seconds. The ALE experiments were conducted using a FC-assisted ALE procedure, where a steady-state Ar plasma is utilized in conjunction with a periodic injection of a defined amount of precursor gases and synchronized plasma-based Ar+ ion bombardment. The detailed process sequence is shown in Figure 3.4, and is similar to our previous work.7, 30, 76 All plasma processes presented in this work operate with a source power of 200 W and a 50 standard cubic centimeters per minute (sccm) Ar carrier gas flow at a processing pressure of 10 mTorr. The ALE process consists of a deposition step and an etching step. In the deposition step, a selected set of precursors was injected with a duration of 2 seconds to deposit a 10 ? thick FC/HFC film on the substrate. This step was followed by a 12-second purge step to pump out the residual precursor gas. The precursors studied include a) mixtures of methane (CH4) with octafluorocyclobutane 47 (C4F8) and b) mixtures of methane with trifluoromethane (CHF3). Information on the precursor gases is listed in Table 3.1. The mixing ratio of the studied precursors were a) a 1:1 mixture of CH4/C4F8 and b) a 1:1 mixture of CH4/CHF3. For the etching step, a -15 V RF bias voltage was applied with an etching step length (ESL) of 18 seconds, accelerating Ar+ ions to a maximum kinetic energy of 30 eV to react the deposited film and substrate. Since the film thickness deposited by the selected set of precursors may be different on HfO2 and Si surfaces, the experiment was set to deposit a 10 ? thick film on Si at the beginning cycles by fine-tuning the mass flow controller to control the amount of injected precursor gases. The same amount of precursor injection was applied for HfO2. Surface chemistry modification with regard to precursor chemistry was obtained by XPS analysis of processed samples at the end of the deposition and etching steps. The samples were transferred under ultrahigh vacuum (UHV) to a Vacuum Generators ESCALAB MK II surface analysis system for XPS measurements. Photoelectrons were emitted using a non- monochromated Al K? x-ray source (1486.6 eV). High-resolution scans of the Hf4f, Si2p, C1s, O1s, and F1s spectra were obtained at 20 eV pass energy at two takeoff angles, 20? (shallow probing depth ?20-30 ?) and 90? (deep probing depth ?80 ?), with respect to the sample surface. The spectra were calibrated by the binding energy position of the C-C peak to 285 eV and fitted using least square fitting after Shirley background subtraction.39, 40 The Hf4f spectra were fitted using hafnium dioxide (HfO 121, 1222) , at 16.7 eV and 18.2 eV for Hf4f7/2 and Hf4f5/2, and fluorinated hafnium oxide (HfO 18xFy) , at 18.6 eV and 20.3 eV for Hf4f7/2 and Hf4f5/2. The Si2p spectra were fitted using elemental Si, Si-F, Si-F2, Si-F3, Si-O2 and Si-OxFy at 99.3 eV, 100.5 eV, 101.5 eV, 103 eV, 103.4 eV and 104.2 eV, respectively.5, 39, 41, 121 The FC deposition film in the 48 C1s spectra was fitted using C-C/C-H, C-CFx, C-F/C-O, C-F2 and C-F3 at 285 eV, 286.2 eV, 287.9 eV, 290.2 eV and 292.6 eV, respectively.5, 41, 80, 121, 123 The adventitious carbon contamination on a pristine sample in the C1s spectra was fitted using C-C, C-O-C and O-C=O for 285 eV, 286 eV and 288.5 eV, respectively.121 The O1s spectra were fitted using Hf-O, ?OH, and Hf-OxFy at 530.4 eV, 531.7 eV and 532.2 eV, respectively. 121, 122, 124 The F1s spectra were decomposed into Hf-O F and F-C at 685.5 eV and 688.5 eV, respectively.18, 44, 80x y Table 3.1. Molecular formula, name and structure of precursors used in this work. Molecular Formula Name Structure CH4 Methane CHF3 Trifluoromethane C4F8 Octafluorocyclobutane 49 Fig. 3.4 (Color online) is a schematic diagram of the atomic layer etching (ALE) cyclic process. The deposition step employs reactant injection and deposition on the substrate followed by a 12 s purge step to remove the residual reactants from the processing chamber. The etching step introduces a substrate bias for ion-assisted chemical etching of the FC deposition film and reacted layer. The experiment was designed to study the deposition behaviors on HfO2 and Si, so the deposition parameter was set to obtain a 10 ? thick deposition on Si at the end of the injection step in the beginning cycles and apply the same injection amount of the reactant to HfO2 to observe any differences in the deposition yield. 3.3 Results and Discussion 3.3.1 Comparison of CH4/C4F8 And CH4/CHF3 on Si And HfO2 3.3.1.1 Deposition Behavior The thickness change of the deposited HFC film for the CH4/C4F8-based ALE sequence on Si and HfO2, respectively, is shown in Figure 3.5(a) and (b). Figure 3.5(a) shows that some deposited FC remains on the Si surface at the end of the etching step and gradually builds up with the number of process cycles. The FC buildup is due to the combination of a low FC consumption rate by Si and the use of a short ESL of 18 s and -15 V bias power for the etching step.5, 30, 40, 77, 125 Figure 3.5(b) shows that the CH4/C4F8 mixture also deposits a ~10 ? thick film at the end of the precursor injection step on the HfO2 surface, and leads to FC accumulation after a few processing cycles. Overall, the use of the CH4/C4F8 mixture for ALE process cycles produces comparable deposition film thickness on Si and HfO2. 50 CH /C F 3 4 4 8 Deposition 10 ?/cycle on Si (a) Bias -15 V, ESL 18 s CH /C F =2/2 sccm 4 4 8 2 1 0 (b) 2 1 0 0 100 200 300 Time (s) Fig. 3.5 (Color online) The evolution of the deposition film using the CH4/C4F8 mixture on (a) Si and (b) HfO2, respectively. Process parameters were selected to yield a 10 ? HFC film thickness on Si during each cycle, where were then applied to HfO2 to study substrate-dependent deposition. For comparison, the profiles of the deposited HFC thickness on Si and HfO2 obtained with a CH4/CHF3 ALE sequence are summarized in Figs. 3.6(a) and (b). As in the previous experiments, the deposition parameters were designed to obtain ~10 ? thick film on Si during initial cycles and then these conditions were applied to HfO2. Figure 3.6(a) shows ~10 ? 51 FC Thickness (nm) HfO Si 2 deposited HFC film on Si at the end of the CH4/CHF3 precursor injection step along with some desorption of the deposited film for the first two cycles, possibly related to changes in the chamber wall conditions.37, 75 The subsequent etching step resulted in a partial removal of the deposited film and some deposition accumulating on top of Si. Using the CH4/CHF3 mixture produced similar FC accumulation on Si as seen for the CH4/C4F8 mixture. The deposited HFC film for the CH4/CHF3 mixture on HfO2 behaved differently from that seen on Si. During the first few cycles, the deposited HFC film thickness at the end of the precursor injection step was less than 2 ?. Furthermore, the deposited film underwent a desorption reaction during the purge step, as shown in Figure 3.6(b). The subsequent step applied a -15 V RF bias voltage on the substrate, which removed the residual FC film and some underlying HfO2 layers. At the 7 th and 8th cycles, the thickness of the deposited film at the end of the injection step increased to ~6 ?, and the removed deposition thickness during the purge step became less significant. During these nine cycles, a CH4/CHF3 mixture in the ALE sequence did not lead to the buildup of a deposited film on HfO2 and, contrarily, resulted in a steady ER on the underlying HfO2. We used in situ ellipsometry to observe a deposition yield difference on HfO2 and Si surfaces with a 1:1 mixture of CH4/CHF3 in the ALE sequence. 52 CH /CHF 3 4 3 Deposition 10 ?/cycle on Si (a) Bias -15 V, ESL 18 s CH /CHF =2/2 sccm 4 3 2 1 0 (b) 2 1 Delayed deposition with HfO etching 2 0 0 100 200 300 Time (s) Fig. 3.6. (Color online) The evolution of deposited HFC film on (a) Si and (b) HfO2, respectively when using CH4/CHF3. Process parameters were selected to yield 10 ? HFC film thickness on Si during the initial cycles and were then applied to HfO2. To differentiate the evolution of the deposition thickness, Figure 3.7(a) depicts the FC deposition thickness formed by the CH4/C4F8 and CH4/CHF3 mixtures on Si and HfO2 surfaces at the end of each deposition step. The CH4/C4F8 mixture deposited a comparable film thickness of ~10 ? or more on both HfO2 and Si surfaces in the observed twelve cycles. The CH4/CHF3 mixture, in comparison, did not deliver more than a 2 ? thick film on HfO2 in the first seven 53 FC Thickness (nm) HfO Si 2 cycles. On Si, however, the same set of precursors steadily deposited more than a 9 ? thick film from the second cycle onwards. We also evaluated the deposition thickness difference between HfO2 and Si surfaces by subtracting the HFC thickness on HfO2 from that on Si. The evaluation shows the evolution of substrate-dependent deposition. The result is shown in Figure 3.7(b). A CH4/C4F8 mixture obtained a deposition thickness difference between HfO2 and Si of less than 1 ? within the studied twelve cycles. On the other hand, a CH4/CHF3 mixture revealed more than a 7 ? thickness difference between the deposited HFC film thickness on HfO2 and Si surfaces in the first seven cycles. The difference in deposited thickness decreased over subsequent cycles, reaching 5 ? at the twelfth cycle. Additionally, the amount of HfO2 removed or deposition built up on HfO2 within a cycle was measured by subtracting the film thickness at the beginning of the deposition step from the thickness at the end of the etching step. Figure 3.7(c) summarizes the thickness evolution of the deposited film and HfO2 with CH4/CHF3 and CH4/C4F8 mixtures, respectively. A reduction of the overall film thickness in a cycle was interpreted as an absence in the buildup of the deposited film, which corresponds to the removal of the underlying HfO2. At the same time, a thickness increase was attributed to a deposition buildup on the HfO2 surface. A CH4/C4F8 mixture in the ALE sequence resulted in negligible HfO2 etching in the 1 st cycle and then an incremental buildup of deposition from the 2nd to 12th cycle. It is important to note that a CH4/CHF3 mixture in the same sequence produced an EPC corresponding to ~0.5 ? per cycle for HfO2. 54 Deposition 10 ?/cycle on Si (a) Bias -15 V, ESL 18 s 15 10 HfO Si 5 2 CH /CHF 4 3 CH /C F 4 4 8 0 [FC -FC ] (b) Si HfO2 15 CH /CHF4 3 CH /C F 4 4 8 10 5 0 5 CH /CHF (c) 4 3 CH /C F 4 4 8 HfO Removal 2 0 FC Accumulation on HfO 2 -5 0 2 4 6 8 10 12 Cycle Fig. 3.7. (Color online) (a) A comparison of the deposition thickness at the end of the deposition step on HfO2 and Si using CH4/CHF3 and CH4/C4F8, respectively. (b) Deposition thickness differences between Si and HfO2 with CH4/CHF3 and CH4/C4F8, respectively. (c) The thickness evolution of the deposited FC and HfO2 film with the selected set of precursors. 55 FC, HfO Thickness Change (?) FC Thickness Difference (?) FC Deposition Thickness (?) 2 3.3.1.2 Model of Non-Selective and Selective Deposition Precursors In situ ellipsometry showed that the selection of the precursor controls the HFC deposition behavior difference for HfO2 and Si. The CH4/C4F8 mixture deposited a similar thickness on both materials, but the CH4/CHF3 mixture produced a deposition thickness difference of more than 7 ? during the first nine cycles. The deposited film formed by CH4/CHF3 on HfO2 desorbed, suggesting that the precursor chemistry determines substrate- dependent deposition. XPS spectra for processed HfO2 and Si samples by the CH4/C4F8 mixture at the end of the deposition and etching steps in the 4th cycle were collected. The data of Figures 3.8(a)-(d), depict the Hf4f, C1s, O1s and F1s spectra for the HfO2 sample with a takeoff angle of 90?. The data of Figures 8(e)-(g) show the Si2p, C1s and F1s spectra for Si at an electron takeoff angle of 90?. 56 90? XPS on HfO 2 Hf4f (a) C1s (b) O1s (c) F1s Hf-OF (d) C-C/C-H Hf-O C-O-C Hf-O C-CF x Hf-OF O-C=O Hf-OF C-F C-F/C-O -OH C-F 2 12 15 1 8 21 282 285 2 88 291 294 528 53 1 534 537 684 687 690 o 90 XPS on Si Si2p (e) C1s (f) F1s (g) CH4/C4F8 Si-O Si-OF C-C/C-H SiO-F 2 Pristine C-O-C C-F Si-F 3 C-CF Cycle 4 Si x O-C=O Si-F End of purgex Si-F C-F/C-O End of etch Si-F 2 C-F2 99 102 105 282 285 288 291 294 684 687 690 Binding Energy (eV) Fig.3.8 (Color online) XPS analyses on the HfO2 and Si films treated by CH4/C4F8 after the purge and etching steps, respectively, in the 4th cycle. The (a) Hf4f, (b) C1s, (c) O1s and (d) F1s spectra present the surface chemistry during each step on HfO2. In comparison, the (e) Si2p, (f) C1s and (g) F1s spectra show the surface chemistry evolution on Si. The XPS results are consistent with the ellipsometric data. The appearance of the C-CFx, C-F and C-F2 peaks in the C1s spectra in Figure 3.8(b) and (f) suggest the formation of a FC (and/or HFC) film on both HfO2 and Si in the 4 th cycle at the end of the purge step. The intensities of the C-F and C-F2 peaks in Figures 3.8(b) and (f) had only a negligible difference, indicating that the CH4/C4F8 mixture deposits a similar F/C ratio of the film on HfO2 and Si. The following etching step shows that Ar+ ion bombardment contributes to an intensity reduction of the C-F peak with an intensity increase of the HfOxFy and SiOF peaks. This result suggests that 57 Intensity Intensity ion bombardment removes some deposited FC and simultaneously provides fluorine etchants from the FC film reacting with the underlying material. The C4F8 and C4F8/H2 precursors in an ALE sequence also provide a similar reaction during the etching step, where the ion bombardment drives the underlying layer fluorination 5, 8, 75, 76. Importantly, the XPS spectra in Figure 8 imply that the main reactants dissociated from the CH4/C4F8 mixture behave in a similar manner on HfO2 and Si during ALE sequences. The surface chemistry evolution of HfO2 and Si after the CH4/CHF3 mixture processed at the end of the deposition and etching step of the 4th cycle was investigated by XPS analysis as well. Figures 3.9(a)-(d) present the Hf4f, C1s, O1s and F1s spectra for the HfO2 sample at an electron takeoff angle of 90?. Figures 3.9(e)-(g) show the Si2p, C1s and F1s spectra for Si at an electron takeoff angle of 90?. The C1s spectra for the processed HfO2 in Figure 3.9(b) show a negligible intensity of C-F peaks, indicating that no deposited film remains on the surface at the end of purge step in the 4th cycle. The etching step followed by low energy Ar+ ion bombardment does not result in an intensity reduction of the C-C/C-H peak. Only the existence of the C-C/C-H peak provides evidence of residual ALD precursors of the initial HfO2. 122 In comparison, the Si sample processed by a CH4/CHF3 mixture at the end of the purge step retains the C-F peaks. This result agrees with the adsorption of FC film on Si as observed by in situ ellipsometry. The subsequent etching step reduces the intensity of the C-C/C-H and C-F peaks, revealing a partial removal of the deposition on the Si surface. XPS spectra confirm the CH4/CHF3 mixture in ALE sequences that exhibits substrate-dependent deposition. The changes in the Hf4f spectra from a pristine sample to the processed samples at the end of the purge step in Figure 3.9(a) show that the injection of a CH4/CHF3 mixture leads to a 58 fluorination reaction on HfO2 layers. The appearance of the Hf-OxFy peak but no intensity of the Hf-O peak in both O1s and F1s spectra at the end of the purge step, as shown in Figure 3.9(c) and (d), indicates that HfO2 layers undergo a high degree of fluorination. The subsequent etching step with Ar+ ion bombardment does not increase the fluorination level of the HfO2 layers. In contrast, the Si2p and F1s spectra in Figure 3.9(e) and (g) show that Si undergoes a lower degree of fluorination at the end of the purge step. The subsequent etching step sputters the deposited FC film, which leads to the underlying Si having a higher degree of fluorination. The CH4/CHF3 mixture results in different surface reactions on HfO2 and Si during the deposition step. The main dissociated reactant in plasma potentially is an important factor for the precursor deposition behavior. 90? XPS on HfO 2 Hf4f (a) C1s (b) O1s (c) F1s (d) C-C/C-H Hf-O Hf-OF C-O-C Hf-O C-CF x Hf-OF O-C=O Hf-OF C-F/C-O C-F -OH C-F 2 12 15 1 8 21 282 285 288 291 294 528 531 534 537 684 687 690 o 90 XPS on Si Si2p (e) C1s (f) F1s (g) CH4/CHF3 Si-O Si-OF C-C/C-H 2 Pristine C-O-C Si-F 3 C-CF Cycle 4 Si x SiO-F O-C=O C-F End of purge Si-F C-F/C-O End of etch Si-F 2 C-F2 Si-F x 99 102 105 282 285 288 291 294 684 687 690 Binding Energy (eV) 59 Intensity Intensity Fig. 3.9. (Color online) XPS analyses on the HfO2 and Si films treated by CH4/CHF3 after the purge and etching steps, respectively, in the 4th cycle. The (a) Hf4f, (b) C1s, (c) O1s and (d) F1s spectra present the surface chemistry during each step on HfO2. In comparison, the (e) Si2p, (f) C1s and (g) F1s spectra show the surface chemistry evolution on Si. The removal of HfO2 and degradation of the substrate-dependent deposition with the CH4/CHF3 mixture was also studied using the Hf4f, Si2p, C1s, O1s and F1s spectra, as shown in Figure 3.10. The Hf4f, O1s and F1s spectra shown in Figure 3.10(a), (d) and (e) display an intensity reduction of the Hf-OxFy peaks at the end of the etching step for the 4 th to 8th processing cycle, indicating the removal of fluorinated HfO2 layers. An intensity increase of the Si elemental peaks also is consistent with the removal of the top HfO2 layers and more exposure of underlying Si layers. The C1s spectra of Figure 3.10(c) show a deposited film at the end of the deposition step of the 8th cycle, suggesting that the CH4/CHF3 mixture gradually loses its inherent selectivity with a deposition delay on HfO2. Another possible cause for losing selectivity is the formation of an intermixing layer between HfO2 and Si during the process, likely during the etching step. Overall, selective deposition is observed on Si but not on HfO2 if a CH4/CHF3 mixture is used during an ALE sequence. Integrating this feature with proper etching parameters is promising with regard to achieving selective ALE of HfO2 over Si by forming a passivation layer on Si. 60 90? XPS, CH /CHF on 3 nm HfO /5 nm Si 4 3 2 Hf4f (a) Si2p (b) C1s (c) Hf-O Si C-C/C-H Hf-OF Si-F C-O-C C-CF x O-C=O Si-O 2 C-F/C-O Si-F 3 C-F Si-F Si-OF 2 2 12 15 18 21 99 102 105 282 285 288 291 294 Binding Energy (eV) Binding Energy (eV) Binding Energy (eV) O1s (d) F1s (e)Hf-OF Hf-O Cycle Evolution Pristine Hf-OF Cycle 4 End of purge C-F End of etch -OH Cycle 8 End of purge End of etch 528 531 534 537 684 687 690 Binding Energy (eV) Binding Energy (eV) Fig. 3.10. (Color online) (a) Hf4f, (b) Si2p, (c) C1s, (d) O1s and (e) F1s XPS spectra of the sample (with the film scheme of a 3 nm ALD-HfO2/5 nm Si/30 nm SiO2 on a Si substrate) processed by CH4/CHF3 after four and eight cycles, respectively. 3.3.2 CH4/CHF3-Based ALE of HfO2 with Selectivity to Si To evaluate the etching performance of an actual material stack using substrate-selective deposition, an annealed multi-layer sample consisting of 3 nm HfO2/10 nm Si/30 nm SiO2 on a Si substrate was used. The purpose was to study whether the CH4/CHF3-based ALE process is capable of removing the top annealed HfO2 layer with a thickness of 3 nm. The process also needed to selectively deposit a thick FC film to prevent Si etching and minimize underlying Si loss. The psi-delta map obtained by in situ ellipsometry using an Ar/CF4 CW plasma with a high 61 Intensity Intensity substrate bias to etch back the annealed sample is shown in Figure 3.11(a). The layers were labeled top (HfO2), intermediate (Si) and bottom (SiO2). The ALE experiment focused on the top two layers. The experimental result is previously shown in Figure 3.7(c) suggests that the set of etching parameters applied can deliver a low EPC of HfO2. To improve the EPC of HfO2 and minimize the amount of Si loss before the formation of a passivation layer, several processing parameters were optimized. These included the ratio of the CH4/CHF3 precursor gases to a 1:2 ratio, a -20 V RF bias voltage, and a 13 s ESL. The other parameters were the same as those given in Figure 3.4. The approach of fine-tuning the processing recipe was based on a) an increase in halogen species in the injection step, and b) a slightly higher RF bias voltage in the etching step. These changes prompted a greater EPC of etching materials, but a tradeoff was more material loss in the non-etching area before the development of a thick passivation layer. A reduced ESL, then, was utilized to accelerate the buildup rate of a deposition film on the non- etching area without having a substantial impact on the EPC of the etching area. A detailed discussion on tuning the FC-based ALE processing parameters for optimizing a passivation layer can be found in the references.6, 7, 30 The psi-delta map of the optimized ALE processing for the annealed HfO2 sample was superimposed on the etchback data and is shown in Figure 3.11(a). The ALE processing began with the etching of the top annealed HfO2 layer. As the depletion of the top layers continued, the trajectory moved along the path corresponding to Si etching with some buildup of deposited FC. For measured trajectories along the path denoted ?FC buildup on Si,? formation of a sufficiently thick HFC passivation layer on the Si surface takes place that stops the etching of underlying Si layers. In contrast to the conventional method of calculating an etching selectivity by the ER 62 differences of two materials within a given period, ALE selectivity can be evaluated by the amount of non-etching material loss before etching is stopped. In this work, the amount of Si loss can be used to measure the selectivity of the CH4/CHF3-based ALE process. In the ellipsometric model shown in Figure 3.11(b), the dense dotted line indicates the extent of Si loss after the full removal of the top annealed HfO2 layer. The dashed line represents no further Si loss with the formation of FC deposition, while the thickness loss of the underlying Si reaches 15 ?. The results confirm that a CH4/CHF3-based ALE process which utilizes substrate-dependent deposition is capable of etching annealed HfO2 with selectivity relative to Si. 63 Ar/CF CW Etch (a) 4 SiO 100 Ar/CH /CHF ALE 4 3 2 Etch 90 Si Etch FC Build-up on Si 80 70 HfO Etch2 12 13 14 15 16 Psi (?) Ar/CHF /CH ALE (b) 3 4 100 CH /CHF = 1/2, FC 10 ?/cycle 4 3 Bias -20 V, ESL 13 s Si Loss 90 15 ? FC on Si 80 0 ? HfO Etch 2 FC Build-up HfO -Si 70 2 Si Etch Interface HfO Etch2 12 13 14 15 16 Psi (?) Fig. 3.11. (Color online) (a) The in situ ellipsometry data of Ar/CF4 etchback on a sample (with a film scheme of 3 nm annealed HfO2/5 nm Si/30 nm SiO2 on a Si substrate) and a CH4/CHF3- based ALE process with the optimal processing parameters on the identical scheme sample. (b) Ellipsometric modeling of the etching of the top HfO2 and underlying Si films. The dashed line 64 Delta (?) Delta (?) describes the formation of a FC passivation layer with a corresponding 1.5 nm Si loss before a Si etching stop occurs. To evaluate the evolution of the ALE process on the annealed sample, the thickness change of the HfO2 and Si layers at the end of the etching step was studied, as shown in Figure 12(a). Plasma ignition with a 10 mTorr Ar carrier gas occurs prior to the first processing cycle, likely sputtering away some surface impurities and/or HfO2-like materials. The processing from the 1st to 13th cycle, then, delivers a steady EPC of 0.7 ? per cycle until depleting the top HfO2 layer and reaching an interface between HfO2 and the underlying Si layers, i.e. just etch and no over etch (0% OE). Since an etching process typically requires a certain degree of OE to ensure surface cleanliness, various degrees of OE of 0%, 20%, 50%, and 100% have been indicated and provide a measure of the corresponding Si losses. The 100% OE indicates that another 13 cycles were performed with the specimen after reaching the interface. Significantly, the Si etching stop developed after a 100% OE with a 13.7 ? Si thickness loss, and any further processing cycles did not contribute to extra Si loss. The detailed etching profiles of the 4th to 6th cycles during the HfO2 etching was superimposed on the profile of the 17th to 21st cycles during the underlying Si etching in order to evaluate the phenomenon of substrate-dependent deposition with a CH4/CHF3 mixture. The plot of this etching profile is shown in Figure 3.12(b). In the HfO2 etching region, the precursors were deposited on the top of HfO2 during the injection step, but the deposition underwent a desorption reaction during the purge step. The attained thickness of the deposition on HfO2 at the end of the purge step is less than 2 ?, which facilitates the removal of the HfO2 layer by utilizing low energy Ar+ ion bombardment. In comparison, the deposited film continuously builds up on top of 65 the Si during the purge step, attaining a thickness on the surface of more than 10 ?. A thick deposited FC film buffers the Si layer from Ar+ ion bombardment during the etching step and contributes to the formation of a passivation layer. The substrate-selective deposition through a CH4/CHF3 mixture on HfO2 and Si surfaces realizes the ALE selectivity. OE 0% 20% 50% 100% 50 HfO /Si interface (a) 2 Si Loss 40 CH /CHF ALE4 3 13.7 ? HfO 2 Si 30 HfO 2 Si 20 10 0.7 ?/cycle 0 0 10 20 30 Cycle 20 Cycle 4-6 (HfO etch) (b) 2 HfO /FC 2 15 Cycle 17-21 (Si etch) FC Si 10 5 0 -5 0 50 100 Time (s) 66 HfO , FC, Si Thickness (?) HfO , Si Thickness (?) 2 2 Fig. 3.12. (Color online) (a) HfO2 and Si thickness at the end of each ALE cycle using a CH4/CHF3-based ALE process. (b) The profile of the HfO2 and FC thickness in the 4 th to 6th cycles of the HfO2 etching region was superimposed on the profile of the FC and Si thickness in the 17th to 21st cycles of the Si etching region. XPS spectra of Hf4f, Si2P, C1s, O1s and F1s were also obtained to establish if the sample surface has any residual HfO2 remaining at just etch (0% OE). The detailed data is shown in Figure 3.13. After processing, the Hf-O peaks in the Hf4f and O1s spectra disappeared, and a greater intensity of elemental Si is observed in the Si2p spectra. The transformation of the peak intensities indicates that the substrate surface has no HfO2 remaining in respect to more exposure of the underlying Si. A few HfOxFy peaks, observed in the Hf4f, O1s and F1s spectra, are considered to be an etching byproduct on the surface. The thickness of the HfOxFy layer can be calculated using angle-resolved attenuation modeling, which utilizes an attenuation of Si2p photoelectrons by the top HfO2 or HfOxFy layer. The attenuation equation 125 cos? cos?? ???2? (1) ????2 = ? [ ] ln( ? ) (cos? ? cos??) ???2? was used, with the electron inelastic mean free path (IMFP) in the HfO2 film ? equal to 21.7 ?.126 The calculated thickness of the unprocessed HfO2 layer in a pristine sample is ~29 ?, whereas that of the HfOxFy etching byproduct on the substrate at the end of the etching process (0% OE) is ~7 ?. The processed sample surface typically also shows etching byproducts, including carbon and SiOF intermixing layers. The intermixing layer dramatically affects the Si2p intensity and reduces the accuracy of the calculated byproduct thickness using the attenuation model. The real thickness of the HfOxFy byproduct on Si is expected to be thinner 67 than the calculated value. The XPS spectra show that the CH4/CHF3-based ALE process can substantially remove the annealed HfO2 layer with an initial thickness of ~29 ?. 90? XPS Hf4f (a) Si2p (b) C1s (c) C-C/C-H Hf-O Si Si-F C-O-C C-CF x O-C=O Si-O 2 C-F/C-O Hf-OF Si-F 3 Si-F Si-OF C-F 2 2 12 15 18 21 99 102 105 282 285 288 291 294 Binding Energy (eV) Binding Energy (eV) Binding Energy (eV) O1s (d) F1s (e) Hf-OF Hf-O Si-OF CH /CHF -based ALE 4 3 Si-F x Pristine -OH C-F ALE cycle 13 Hf-OF (End of HfO etch)2 Si-OF 528 531 534 537 684 687 690 Binding Energy (eV) Binding Energy (eV) Fig. 3.13. (Color online) (Color online) XPS spectra comparing a pristine sample with a treated sample by a CH4/CHF3-based ALE process after 13 cycles at the end of the HfO2 etching region (no over-etching). The spectra include (a) Hf4f, (b) Si2p, (c) C1s, (d) O1s and (f) F1s. 3.3.3 Discussion A comparison of the deposition behavior of HFC films on HfO2 and Si surfaces shows that a CH4/CHF3 mixture exhibits substrate-dependent deposition, but a CH4/C4F8 mixture does not. The current work shows that the CH4/CHF3-based ALE process can etch annealed HfO2 with selectivity to Si. To understand this difference, it is necessary to investigate the mechanism 68 Intensity Intensity of substrate-selective deposition, particularly the plasma dissociated radical and surface interactions. This section will begin with a review of dissociated radicals from C4F8 and CHF3 gases and the effect of CH4 additives. Then, a surface reaction model based on XPS analysis is proposed to explain the different process outcomes seen for the various precursor sets that were examined for Si and HfO2. A summary of our experimental results with implications for the field of ASD will be discussed in the final part of this section. We postulate that the dissociated species of C4F8 and CHF3 gases during the precursor injection step regulate surface reactions, in particular, F, CF, CF2 and CF3 radicals, resulting in surface fluorination and/or FC deposition. CFx (x = 1-3) radical densities in plasma control the deposition yield on the substrate surface, so a higher radical density likely contributes to a higher deposition yield.9, 127, 128 Schaepkens et al estimated CF and CF2 radical densities using the apparatus used in the current work for C4F8 and CHF3 plasmas by employing infrared diode laser absorption spectroscopy (IRLAS).117 In their work, C4F8 plasma produced CF and CF2 radical densities with partial pressures of 0.065 mTorr and 2.475 mTorr, respectively. They used a flow rate of 10 sccm, chamber process of 13 mTorr, and inductive power of 600 W. In comparison, the CHF3 plasma produced CF and CF2 radical densities with partial pressures of 0.041 mTorr and 0.99 mTorr, respectively, under the same inductive power and gas flow rate as the above- mentioned C4F8 plasma but at a reduced chamber pressure of 10 mTorr. Since the partial pressure of a dissociated radical is proportional to the radical density, we can infer that the average CF and CF2 radical densities in the C4F8 plasma are higher than that those in the CHF3 plasma. Similar to Schaepkens?s experiments, Miyata et al also estimated the CFx (x = 1-3) radical density in electron cyclotron resonance (ECR) plasmas with C4F8 and CHF3 gases by 69 IRLAS.129 They found results consistent with Schaepkens that the CF and CF2 radical densities in the C4F8 plasma under a roughly similar processing condition are on the range of 10 11-1012 cm- 3 and 1012-1013 cm-3, respectively. The CHF3 plasma dissociated to produce lower CF and CF2 radical densities than those produced in the C4F8 plasma as well. Additionally, the CF3 radical density in the C4F8 plasma at the microwave power of 200 W increased to be on the order of 10 13 cm-3, whereas that in the CHF plasma was on the order of 1012 cm-3.1293 The radical density difference between these two plasmas is possibly a result of the dissociation cross-section of C4F8 being larger than that of CHF 129, 130 3. This difference leads to the C4F8 plasma showing a higher degree of dissociation. As a result, the C4F8 plasma provides a relatively high density of CFx (x = 1-3) radicals onto the substrate surface. The above-mentioned radical density measurements were conducted without a carrier gas. Since our studies of the ALE processing sequence, including the deposition step, uses a 50 sccm Ar carrier gas, the use of carrier gas may cause a deviation from these radical density measurements. Li et al compared the chemical composition of the deposition films from C4F8 and C4F8/80% Ar plasmas by using XPS. 131 The C1s spectra revealed that the Ar carrier gas contributed to a small reduction of the C-F2 intensity along with a slight increase in C-CFn/C-O intensities for a 300 nm thick deposition film. Metzler et al studied the FC deposition yield using Ar/C4F8 and Ar/CHF3 on Si and SiO2 in an ALE sequence. 5, 7 Their results showed that under the same amount of precursor injected in a cycle, an Ar/C4F8 gas chemistry deposits a film three to five times thicker than an Ar/CHF3 gas chemistry. Ar carrier gas in ALE sequences results in a slight impact on the composition of the deposited film, but it does not affect the relative behavior differences between C4F8 and CHF3 plasmas. 70 An additional factor affecting surface reactions is the adsorption of F radicals which results in surface passivation via surface fluorination reactions. Hebner et al measured the SiF radical density in inductively driven discharges containing C4F8 and CHF3 gases through laser- induced fluorescence (LIF).132 This approach allowed the researchers to measure the number of F radicals interacting with the Si wafer and forming SiF etching byproducts. The relative SiF density generated by CHF3 was four times more than that generated by C4F8 under the processing conditions of 200 W coil power, 10 mTorr pressure, and no substrate bias. These findings suggested that the C4F8 plasma tends to form a FC polymerization layer due to a relatively high CFx (x = 1-3) radical density. The CHF3 plasma, on the other hand, produces a high density of F radicals fluorinating the substrate surface. A key issue concerning the effect of adding CH4 to Ar/C4F8 and Ar/CHF3 plasmas concerns the question of whether or not CH4 can serve as a fluorine scavenger in an ALE sequence and may remove fluorinated HfO2. The electron impact in plasma induces CH4 dissociation, producing hydrogen and hydrocarbon (HC) radicals, such as H, CH, CH2, CHF3 and C2H . 133-136 5 The dissociated H species easily react with the F radicals originating from FC and HFC precursors to form HF products. Therefore, the relative concentration of CFx (x = 1-3) radicals is significantly increased with a 50% CH4 addition to C F 137 4 8 and CHF3 plasmas. For the deposition film, the substrate bias power is related to the C/H ratio.138-140 Fox-Lyon et al showed that in an Ar/CH4 plasma a high substrate bias power provides the carbon-rich deposition film.141, 142 Since our ALE sequences do not apply any bias during the deposition step, CH4 additives result in a hydrogen-rich deposition film. These hydrogen-rich depositions enable a removal pathway of fluorinated HfO2 by forming HfCH + x (x = 0-4) and HfHxF + (x = 0-2) volatile 71 products.115 Without these products, using a -15 V substrate bias power in the etching step does not meet the energy threshold of sputtering annealed HfO2 and/or fluorinated HfO2. To understand the behavior of CH4/C4F8 and CH4/CHF3 mixtures on HfO2 and Si, it is necessary to establish a plasma-surface interaction model that includes the major dissociated radicals. The XPS spectra in Figures 3.8 and 3.9 are consistent with reactants that determine the result of the surface reactions. When using a CH4/CHF3 mixture, fluorination of HfO2 takes place during the Ar/CHF3/CH4 deposition step and produces a chemically stable compound, fluorinated HfO2. On the other hand, Si produces volatile SiFx with incoming F, and thus allows fluxes of FC and HC species to deposit HFC films on the Si surface. In the case of a CH4/C4F8 mixture, the XPS spectra in Figure 8 suggest a low degree of fluorination of the underlying HfO2 and Si layers, which enables the primary flux of FC and HC species to deposit on both surfaces. Figure 3.14 summarizes the surface reaction for HfO2 and Si with the CH4/CHF3 and CH4/C4F8 mixtures in an ALE process. In accordance with our experimental results, many studies suggest that a fluorinated surface hinders the adsorption of a fluorine-containing precursor.106, 143, 144 For example, a pre- treatment consisting of a NF3 plasma on a SiO2 film suppresses the deposition of tungsten hexafluoride (WF6) nucleation seeds in a tungsten chemical vapor deposition (W-CVD) process.143 In a W-ALD process, Kalanyan et al found that adding H2 during the WF6 step process promoted the fluorination of SiO2 due to the formation of HF gas. The fluorinated SiO2 layer reinforced the deposition selectivity of Si over SiO .1442 However, the approach of using fluorination passivation on the non-growth area should consider the volatility and chemical 72 stability of the fluorinated surface in order to minimize the undesirable adsorption of nucleation seeds.89 One of the shortcomings of substrate-selective deposition is that the selectivity is gradually degraded as the number of nucleation sites on the non-growth area increases.112 An inherent deposition selectivity is based on the nucleation rate differences on various substrates, where non-growth areas have an incubation time for the adsorption of the nucleation seed.145, 146 When the number of seeding site reaches the point where it overcomes the energy barrier of growth on the non-growth area, the growth reaction starts to take place, and the deposition is no longer material selective. A similar mechanism is indicated in the case of an Ar/CH4/CHF3 mixture interacting with the HfO2 surface. Figure 3.7 shows that after seven cycles, the deposited thickness on HfO2 at the end of the injection step is dramatically increased, suggesting that a greater number of carbon nucleation sites on the HfO2 surface in the later cycles enables adsorption of HFC reactants. This change results in a loss of material selectivity in an ALE process. To reinforce deposition selectivity, research on AS-ALD has introduced the concept of a super-cycle that introduces an etching step to enhance selective deposition by restoring surface conditions for the non-growth areas. For example, selective epitaxial growth (SEG) of Si by chemical vapor deposition (CVD) uses an etchant gas, typically HCl, added to the precursor mixture to remove undesired nucleation seeds on SiO2 non-growth areas. 147-150 It is essential for future studies to identify a way to restore an incubation time on the non-growth area, which reinstates the etching selectivity for ALE. 73 Fig. 3.14. (Color online) illustrates the surface reaction using CH4/CHF3 and CH4/C4F8, respectively, on HfO2 and Si, which is consistent with the XPS data shown in Figure 8 and 9. (a) A CH4/CHF3 mixture during the deposition step generates a high flux of fluorine, resulting in a highly fluorinated HfO2 surface which temporarily suppresses the FC deposition. The following step utilizing low energy Ar+ ion bombardment facilitates the etching of fluorinated HfO2. (b) For Si, a mixture of CH4/CHF3 is able to deposit a ~10 ? film due to silicon functioning as a reducing agent to remove excess reactive fluorine. In the etching step, the deposited film buffers Si layers from the ion bombardment, with some H, C, and F remaining on top of the Si. (c) and (d) A CH4/C4F8 mixture deposits a comparable thickness (~10 ?) on both HfO2 and Si surfaces due to a high flux of FC and HC species carrying a similar reactivity to both materials. In the etching step, low energy Ar+ ion bombardment sputters the deposited film and prompts the ion- enhanced fluorination of underlying materials. As a result, a CH4/CHF3 mixture on HfO2 and Si is able to provide a substrate-dependent deposition. 74 3.4 Summary and Conclusions This work studied the deposition behaviors of two precursor mixtures, CH4/C4F8 and CH4/CHF3, injected into a steady Ar plasma on HfO2 and Si surfaces. The results of in situ ellipsometry and XPS measurements show that at the end of the purge step, the CH4/C4F8 mixture produces deposited films on both HfO2 and Si of comparable thickness. In contrast, the use of CH4/CHF3 mixtures shows substrate-selective deposition between HfO2 and Si. The deposited film generated by CH4/CHF3 on HfO2 desorbs during the purge step, with negligible HFC film deposition remaining on the HfO2 surface at the end of the purge step. For Si, the CH4/CHF3 mixture deposits a FC film with a thickness of more than 10 ? after the purge step. To understand the deposition behavior difference, a surface reaction model based on XPS analysis of the surface conditions was proposed. This model postulates that the CH4/C4F8 mixture produces a high density of CFx (x =1-3) and CHy radicals, which leads to a comparable deposition thickness on HfO2 and Si surfaces. In contrast, the CH4/CHF3 mixture generates a higher density of F radicals and a lower density of FC precursors. These F radicals enable the fluorination reaction on the HfO2 surface, which suppresses the adsorption of FC and HC species. On the Si surface, the effect of F radicals is mitigated by the formation of volatile SiFx products, so the FC and/or HC film can deposit freely. The deposition behavior difference between the CH4/C4F8 and CH4/CHF3 mixtures reveals that the chemical structure of the precursor used in ALE sequences can dictate substrate-selective deposition. Based on substrate-selective deposition with the integration of low energy Ar+ ion bombardment, an innovative ALE process with a CH4/CHF3 mixture allows us to develop an etching selectivity of annealed HfO2 relative to Si. We optimized the processing parameters, 75 including a higher F amount in the injection step, an increased bias RF voltage, and a reduction of the ESL, for a greater EPC of HfO2. The resulting process is able to etch through a ~29 ? annealed HfO2 film with the formation of a passivation layer on Si. The measured Si thickness loss is less than 13.7 ? before the Si etching stop occurs. The XPS spectra are consistent with the results observed by in situ ellipsometry at the interface between the fully depleted top HfO2 and just exposed underlying Si. The developed CH4/CHF3-based ALE process can substantially remove the annealed HfO2 layer with an initial thickness of 29 ?. The implementation of substrate-selective deposition with a combination of low energy Ar+ ion bombardment allows for realizing selective ALE. The deposition becomes inherently less selective with the adsorption of nucleation seeds on the etching area, which degrades the performance of an ALE process. It is important for future studies to focus on an approach that restores the surface state to reestablish etching selectivity. 3.5 Acknowledgments Substrates were produced in Microelectronics Research Laboratory (MRL) at T. J. Watson Research Center in Yorktown Heights, NY. The authors gratefully acknowledge the financial support of this work by Semiconductor Research Corporation (2017-NM-2726). We thank Dr. A. Knoll, Dr. P. Luan, A. Pranda and Y. Li for helpful discussions and collaboration. The authors also thank H.C. Chen from Taiwan Semiconductor Manufacturing Company, H. Cottle, A. Metz and A. Raley from Tokyo Electron Limited, and J. Tan and S. Odunuga from Intel for valuable suggestions on this work. 76 Chapter 4: SiO2 Surface Evolution under CF4/O2 Remote Plasma and Co- Introduction of Remote Plasma and Electron Beam Manuscript in preparation K. Y. Lin, C. Preischl, C. F. Hermanns, D. Rhinow, H.-M. Solowan, T. Hofmann, B Michael, K. Edinger, and G. S. Oehrlein K.Y. Lin contributed to the design and conduction of the research, to the analysis of the experimental results, and to the writing of the manuscript. All authors discussed the results, commented on and made changes to the manuscript. ABSTRACT Electron-based surface activation of surfaces functionalized by remote plasma appears like a flexible and novel approach to atomic scale etching and deposition. Relative to plasma-based dry etching which uses ion bombardment of a substrate to cause controlled material removal, electron-beam induced etching (EBIE) is expected to reduce surface damage, including atom displacement, surface roughness, and undesired material removal. One of the issues with EBIE is the limited number of chemical precursors that can be used to functionalize materials. In this work we demonstrate a new configuration that was designed to leverage the possibility for flexible surface functionalization using a remote plasma source, and combining this with an 77 electron beam (EB) source the purpose of which is to remove the chemically reacted surface layer through electron-stimulated desorption (ESD). This article describes an experimental configuration consisting of a remote plasma source and an electron flood gun for enabling electron beam-induced etching of SiO2 with Ar/CF4/O2 precursors. We evaluated the parametric dependency of the processing parameters of the flood gun, including electron energy and emission current (EC), and of the remote plasma source, including radiofrequency (RF) source power and flow rate of CF4/O2, to SiO2 etching rate (ER). Additionally, two prototypical processing cases were demonstrated, by simultaneously or temporally assembling the remote plasma treatment and the electron beam irradiation steps, to validate the performance in etching applications, such as photomask repair and atomic layer etching (ALE) of SiO2. Surface characterization results that provided mechanistic insights on these processes are also presented and discussed. 78 4.1 Introduction Plasma etching utilizes the ion-neutral synergy effect to achieve pattern transfer on a substrate, and is extensively used in semiconductor manufacturing.11, 111, 151-153 As the feature size of transistors has shrunk to the sub-10 nm scale, the conventional continuous-wave (CW) plasma process no longer meets the processing requirements, including profile control and etching selectivity. The recent development of plasma-enhanced atomic layer etching (ALE) that temporally separates a deposition step and an ion bombardment step can improve etching selectivity, such as Si over SiO 3, 4, 33, 74 and SiO over Si N 5, 30, 76, 77, 154, 1552 2 3 4 . Several works in the literature present detailed reviews of the recent developments in plasma-enhanced ALE.12, 14-16, 87, 155 However, plasma intrinsically develops a sheath potential on a substrate, resulting in inevitable material losses and defect formation. C4F8-based ALE, for example, develops a -15 V sheath potential on the substrate, leading to SiO2 and Si losses at the beginning of the deposition step.7 Other studies have identified that defects can be created on Si and soft materials exposed to Ar plasma, including atom displacement33, 156-158 and the formation of another surface layer that decreases pattern transfer fidelity.159-161 Therefore, the direct plasma is not ideal for high fidelity pattern transfer. There is a need to utilize an alternative approach enabling desorption of a reacted layer without damage. Exploiting an electron beam (EB) in combination with a flux of chemical precursors for material etching is a feasible approach for mitigating plasma damage because the mass of electrons is orders of magnitude smaller than the mass of ions. Electron beam-induced etching (EBIE) is based on EB irradiation of the surface which has physically or chemically adsorbed chemical etchants to promote electron-simulated desorption (ESD).19, 66, 162-164 Coburn and 79 Winters demonstrated that the combination of EB and XeF2 removes SiO2 and Si3N4. 57 Other studies investigated different precursors for EBIE of metals165-167, carbon168-170, germanium171, 172, and Si-based materials20, 57, 66, 173-176. A comprehensive review of the development in EBIE is listed.21-23, 177, 178 One challenge is that the limited number of chemical precursors that can be used for surface functionalization in EBIE as compared to the number of available etchants that are combined for ?plasma chemical etchants? in plasma etching to achieve selective material removal. There are two limiting factors: 1) few precursors can adsorb and cover the substrate surface,20 and 2) the adsorbates can form volatile etching products under EB irradiation.21-23 Additionally, gas-phase hydrocarbon impurities in the reactor may participate in surface reactions and stop desorption of etching products.21-23, 177 To achieve damage-free pattern transfer and expand available etchants in EBIE, we evaluated a new approach that integrates a remote plasma source with an electron flood gun. As shown in Fig 4.1, a remote plasma source is used to generate a flux of neutrals, especially radicals, for surface functionalization, and a flood gun is used to emit an electron flux that stimulates the removal of the modified layer. By using a remote plasma it is possible to improve the surface adsorption of gas-phase precursors on a specimen and directly regulate the chemistry of surface functionalization, e.g. by changing the relative gas flow rates of CF4 and O2. The flood gun and remote plasma can be employed simultaneously or separately. With the assistance of remote plasma, this experimental configuration can offer more available etchants for EBIE. This article begins with a description of co-introduction of EB and remote plasma for SiO2 etching, and then describes screening experiments aimed at evaluating the dependence of SiO2 etching rate (ER) on processing parameters. Both plasma etching and EBIE have a 80 comprehensive understanding of SiO2 etching, which is used as a basis for examining our concept. We explore the parametric dependencies on flood gun and remote plasma operating variables of the SiO2 ER. In situ ellipsometry is used to monitor surface modification and etching. We also study a sequential treatment that involves remote plasma-based surface treatment and subsequent EB irradiation, and characterize the surface chemistry evolution. Since the EB only irradiates a portion of the sample, whereas the remote plasma modifies the whole surface, a comparison of the surface area portions with and without electron irradiation can be used to clarify the influence of EB bombardment on surface chemistry. For this we employ small area and angle-resolved XPS analyses for surfaces with different electron fluxes. The characterization results provide a fundamental understanding of the new experimental configuration. FIG. 4.1. (Color online) Schematic diagram of the concept of co-introduction of radicals and electrons onto a SiO2 surface to enable a novel etching process. 81 4.2 Experimental The experiments were conducted in a vacuum reactor, comprised of a flood gun on the top of the chamber and an electron cyclotron wave resonance (ECWR) remote plasma source on the side (Fig. 4.2(a)). The base pressure of the reactor before a process is at 1?10-7 Torr, and the reactor pressure with precursor injection is raised to 1.8 mTorr. The flood gun used is a commercial Kimball Physics EGA-1012 Electron Gun with a standard yttria-coated disc cathode. The cathode at room temperature can be exposed to atmospheric gases without damage, and the minimum vacuum to run this cathode is 10-5 Torr. A differential pump unit (DPU) was designed and installed to improve the vacuum level in the flood gun chamber. The DPU bottom was attached to a custom-made pressure-limited aperture (PLA), establishing a pressure ratio between the reactor (1?10-3 Torr) and the flood gun chamber (1?10-6 Torr) of about 1000. The remote plasma source consists of a COPRA DN160 ECWR plasma source running at 13.56 MHz radiofrequency (RF) with a neutralization plate.179 The neutralization plate was made by electrically grounding an aluminum plate covered with Kapton tape and a 4-inch diameter quartz plate at the center to mitigate plasma erosion. The neutralization plate is to filter out the ions generated by the ECWR plasma source, allowing only neutrals to diffuse into the reactor. Prior studies have presented a detailed description of this remote plasma source180, 181 and the characterization of etching results on Si-based materials182-185. The ECWR effect requires an additional static magnetic field, exploiting the interaction of an electromagnetic wave with plasma.179 Most experiments were conducted at a 400 W RF source power and 1.8 mT static magnetic fields to the ECWR system. A 200-second pretreatment that introduced both flood gun 82 and remote plasma was applied to each sample for removing adventitious carbon and surface contamination before a processing step. Typical processing parameters were for the remote plasma use of an Ar/CF4/O2 flow rate of 10/1/4 SCCM, and for the flood gun use of 1000 eV electrons at 0.5 mA emission current (EC) and a 100 V control grid. The sample holder is made of electrically grounded stainless steel with the backside cooled by circulating water at 10 ?C. 83 FIG. 4.2. (Color online) (a) Schematic diagram of the apparatus integrated an electron source and a radical source. Picture of the calibration phosphor screen under (b) a 1000 eV electron beam with the control grid voltage of 100 V and (c) the electron beam and in situ ellipsometry laser. The flood gun consists of a cathode, a control grid, and an anode for independent control of electron energy, EC, and beam convergence angle. The voltage between cathode and anode regulates the electron energy, ranging from 5 eV to 1000 eV. Cathode temperature governs the flux of emitted electrons, where EC is proportional to working temperature. A high working temperature, however, accelerates the evaporation rate of the yttria coating and reduces the filament lifetime. The maximum EC under 1000 eV electron energy can emit a current up to 1.5 mA. Our experiments employed a 0.5 mA or lower EC to extend the lifetime of the flood gun. Calibration of the beam diameter on a substrate was done by utilizing a customized phosphor screen with 2 mm spacing grids from Kimball Physics. The in-vacuum length of the firing unit was adjusted for meeting a 38.3 mm working distance from the flood gun outlet to a substrate, so a 1000 eV EB with the maximum grid voltage, 100 V, produced a ~5.5 mm beam diameter on the calibration screen (Fig. 4.2(b)). In the case of studying the dependency of electron energies on etching performance, the control grid voltage was adjusted accordingly to keep the spot size constant. Beam current was measured using a Faraday cup connected to a picoammeter. Our experimental setup with the installation of a PLA provides the ratio between beam current and EC of about 70%. Most of the experiments employed 1000 eV electron energy with a 0.5 mA EC and a 100 V grid voltage, generating a current density of 1.5 mA/cm2 (9.4?1015 cm-2 s-1). In situ ellipsometry with a He-Ne laser operating at a wavelength of 632.8 nm mounted on the reactor allowed us to study surface modification and etching in real-time.38 The 84 ellipsometry laser was aligned using the calibration phosphor screen to a location where a 1000 eV focused electron beam was irradiated, as shown in Fig. 4.2(c). Therefore, the ellipsometer can collect the psi-delta value of the area treated by remote plasma, electron beam, and its combination. The sampling rate of the ellipsometer was set to 5 Hz (0.2 seconds per point) for all experiments. XPS analyses have been performed at the end of a processing step to measure surface chemistry evolution under the influence of electron bombardment. The samples were transferred under vacuum to a Vacuum Generators ESCALAB MK II surface analysis system for XPS measurements. Small area XPS measurement with the diameter of analysis area of 5-6 mm was used to probe surface portions interacting with and without an electron flux with the remote plasma.186 High resolution scans of the Si2p, C1s, O1s, N1s, and F1s spectra were obtained at 20 eV pass energy at an electron take-off angle of 20? (shallow probing depth ?20-30 ?) and 90? (deep probing depth ?80 ?) with respect to the sample surface. The spectra were fitted using the least square fitting after Shirley background subtraction.39, 40 4.3 Results and Discussion 4.3.1 Remote Plasma on SiO2 and Poly-Si The characterization of the SiO2 and poly-Si ER on remote plasma operating parameters provided a foundation, including the flux of reactive neutrals, before moving to the study of etching processes involving the co-introduction of remote plasma and EB. Operating conditions were 10 SCCM Ar flow rate, variable CF4/O2 gas mixtures with a total CF4 and O2 gas flow rate of 5 SCCM, a source power of 400 W, and 1.8 mT DC magnetic fields. The reactor chamber was 85 held at 1.8 mTorr with the presence of the DPU and PLA. Ar is used as a carrier gas to dilute the concentration of gas-phase reactants to minimize erosion of the yttria-coating disc in the flood gun. SiO2 and poly-Si ERs under remote plasma as a function of variation of CF4/O2 flow rates are shown in Fig 4.3. CF4/O2 remote plasma delivers the maximum F flux at 20% O2 and has been explained by CF4 oxidation reactions. 181, 182, 184, 185 The operating conditions with more than 20% O2 increased the partial pressure of reactive oxygen species, leading to surface oxidation as a primary surface reaction. Since poly-Si etching is a spontaneous, chemically driven reaction, the Si ER directly mirrors the flux of fluorine reactants from the remote plasma source and peaks at 20% O2. The operating condition at more than 20% O2 resulted in a decreased poly-Si ER. SiO2 etching, in contrast, is mainly an energy-driven reaction, e.g. by ion or electron bombardment. No SiO2 ER was observed for all oxygen concentrations. The minimum effective F flux from remote plasma can be estimated using the measured poly-Si ER induced by fluorination of silicon. We assume that one silicon atom reacts with four fluorine atoms for the production of a silicon tetrafluoride (SiF4) molecule with unit reaction probability. This provides the minimum effective F flux in the range between 6?1012 cm-2s-1 to 5?1014 cm-2s-1. Other groups187-191 have measured the reaction probability that shows an inverse dependence with F flux, from 0.03 at a F flux of 1012 cm-2s-1 to 0.001 at a F flux of 1020 cm-2s-1. Assuming these measured reaction probabilities near 0.01 for our conditions, we can estimate that for Ar/CF4/O2 remote plasma a F flux between 6?10 14 cm-2 s-1 to 5?1016 cm-2 s-1 is provided for our conditions. 86 3 ECWR, O +CF = 5 sccm, Ar 10 sccm 2 4 RF 400 W, 1.8 mTorr SiO 2 Poly-Si 2 1 0 0% 20% 40% 60% 80% 100% O /(O +CF ) 2 2 4 FIG. 4.3. (Color online) The etching rate of SiO2 and poly-Si using remote plasma with various CF4/O2 flow rates. 4.3.2 Co-introduction of Electron Beam and Remote Plasma for SiO2 Etching After evaluating the SiO2 and poly-Si ER for remote plasma only, the work moved to screen the dependence of SiO2 ER on processing parameters when EB and 40% O2 remote plasma were applied simultaneously. We examined four processing parameters, i.e. EC and electron energy of the electron flood gun, and the RF source power and flow rate of CF4/O2 of the remote plasma source. Since the electron beam diameter is regulated by the electron energy and control grid voltage, the grid voltage was adjusted accordingly for the experiment with different electron energies to keep the beam diameter unchanged. Investigating the first two processing parameters is commonly found in electron beam-induced processes.21, 22, 66, 166, 192 Plasma etching often examines the effect of source plasma and precursor chemistry toward the 87 Etch rate [nm/min] effect of material modification and etching.11 A study of the parametric dependency provides a better understanding of this new experimental configuration. The result of varying ECs and electron energies is shown in Fig. 4.4. The operating condition of remote plasma was kept at a 400 W source power, 1.8 mT magnetic fields, and a gas flow rate Ar/CF4/O2 of 10/3/2 SCCM, respectively. SiO2 ER is positively correlated to the applied EC in the range from 0.005 mA to 0.3 mA. As the applied EC was higher 0.3 mA, SiO2 ER did not get further increased but suppressed. We postulate that the ER profile in Fig. 4.4(a) may be related to the transition from electron-limited to mass transport-limited regimes.192 In the electron-limited regime, the electron flux on a substrate regulates surface reactions, including the dissociation rate of adsorbates. When the electron flux was higher than a certain threshold, the SiO2 ER was limited by transport of gaseous reactants to the surface, such as the replenishment rate of adsorbates, the average residence time of the neutral species, and the desorption rate of etching products. Our flood gun does not have a function of controlling the dwell time. Electrons continuously impinge on the substrate surface, which dramatically degrades the replenishment rate of adsorbates.66, 166, 192 Fig. 4.4(b) summarizes the impact of reducing electron energy from 1000 eV to 300 eV on SiO2 ER. The figure shows that the SiO2 ER decreases with applied electron energy, where 300 eV electron energy produced a faster ER than 1000 eV. The possible ways of electron- adsorbate interaction include electron attachment, neutral dissociation, and dissociative ionization.164, 193 The probability that a pathway takes place depends on the energy dependence of the corresponding cross-section. Typically, at an electron energy of about 100 eV the cross- section for dissociation ionization66, 194-198 reaches a maximum. This may explain the observation 88 that 300 eV electrons are more efficient for energy deposition to adsorbates than 1000 eV electrons. The experimental result of screening the parametric dependency in the flood gun against SiO2 ER is consistent with what has been found in studies of EBIE of SiO . 21, 66 2 Fig. 4.4. (Color online) The SiO2 etch rate as a function of (a) emission current and (b) electron energy. 89 Next, we explored the effect of remote plasma processing parameters on SiO2 ER. The operating condition of the flood gun was kept at 1000 eV electron energy, a 0.5 mA EC, and the control grid of 100 V. A summary of the dependence of SiO2 ER on RF source power and CF4/O2 flow rates of the remote plasma source is shown in Fig. 4.5. RF power is used to control the energy input into the ECWR plasma source, where a high RF power enhances the dissociation rate of injected precursor gases,199-202 and thus controls the number of radicals in the reactor. Fig 4.5(a) shows that a variation of RF power with an EC below 0.05 mA did not lead to significant ER difference. A higher EC of 0.5 mA produced a noticeable ER dependence on RF power. We postulate that the SiO2 ER profile in Fig 4.5(a) can be explained by energy-limited (electron flux) and mass transport-limited (radical flux) regimes. When EC was at 0.05 mA or below, the SiO2 removal was limited by the electron flux, and RF power that controls the dissociation rate of the remote plasma does not affect the SiO2 ER. For higher electron currents, the etching rate became mass transport-limited. At that point, the RF power that controls the flux of dissociated neutrals in a reactor showed a positive relationship to the measured SiO2 ER. Fig 4.5(b) investigates SiO2 ER under various ECs and flow rates of CF4/O2 for co- introduction of remote plasma and EB. The SiO2 ER measured for remote plasma only has been included for reference. Fig. 4.5(b) indicates that the EB induces SiO2 etching. For example, for remote plasma only (green line), no SiO2 etching was seen. For the combination of 1000 eV EB with a 0.01 mA EC and 40% O2 remote plasma a ~0.4 nm/min SiO2 ER was produced. The flow rate of CF4/O2 that regulates the chemistry of precursors into the reactor significantly influences the etching result. As compared to operating in O2-rich conditions, a CF4-rich gas mixture delivered a faster SiO2 ER. A high EC emphasized the ER difference due to changes in the 90 precursor chemistry of the remote plasma. The observed ER trends can be explained by a fluorination reaction since the main flux of neutrals from the remote plasma source controls the composition of adsorbates on the SiO2 surface. 181, 185 The EB simultaneously energized the adsorbates and enhanced the desorption of SiOxFy etching products. 163, 203 In contrast, the O2-rich remote plasma produced less fluorine flux to the reactor so that the probability of surface fluorination and electron-stimulated desorption was limited. These data demonstrate that precursor chemistry produced by the remote plasma source plays an important role for the SiO2 ER during co-introduction of remote plasma and EB fluxes. Fig 4.5(b) demonstrates a new processing window for this experimental configuration that consists of a remote plasma source and a flood gun. The conventional EBIE requires a dedicated synthesis procedure to prepare a precursor for evaluating its etching performance on a material.21, 22, 177 Some precursors are reactive and short-lived, adding another level of complexities. This new experimental approach provides a platform where reactive species can be generated using a plasma source in a vacuum chamber. The adsorbate chemistry can be simply controlled by precursor chemistry, mixtures of stable process gases and relative flow rates. The use of a vacuum chamber extends the lifetime of excited reactants and helps to manage the use of environmentally harmful substances. The co-introduction of particle fluxes from remote plasma and EB enables SiO2 etching. This approach can serve as the basis to develop novel processes for advanced etching applications, such as photomask repair and anisotropic pattern transfer. 91 FIG. 4.5. (Color online) The etching rate of SiO2 as a function of (a) RF power and (b) CF4/O2 flow rate. 92 4.3.3 Prototypical Processing Development After screening the influence of the operating parameters of the ECWR source and EB source on SiO2 ER, the development of two prototypical processes was investigated: 1) steady- state etching of SiO2 during co-introduction of particle fluxes from remote plasma and EB sources and 2) ALE of SiO2 enabled by sequential treatments consisting of a remote plasma surface treatment step followed by EB irradiation. Remote plasma was used for surface functionalization. We employed O2-rich precursor chemistry with an Ar/CF4/O2 flow rate of 10/1/4 SCCM, respectively. The processing parameters of the flood gun were kept at 1000 eV electron energy, 0.5 mA EC (0.35 mA beam current), and a control grid voltage of 100 V. The experimental result elucidates the development of this new experimental configuration, which outperforms in comparison with conventional EBIE. The first case studied a three-step process that consists of 100-second remote plasma treatment, another 100-second treatment that consisted of co-introduction of particles from the remote plasma and EB sources, and finally 100-second EB irradiation of the surface in the presence of Ar/CF4/O2 without remote plasma power. This is shown in Fig 4.6(b). The purpose of this process sequence was to identify the functionality of each component by following the real-time SiO2 ER evolution. The first step consisting of Ar/CF4/O2 remote plasma exposure only for 100 seconds did not lead to any SiO2 ER. This is consistent with the experimental result shown in Fig 4.3. The second step consisted of simultaneous introduction of Ar/CF4/80% O2 remote plasma and 1000 eV focused EB (FEB) using 0.5 mA EC. This treatment resulted in significant SiO2 etching. The SiO2 ER promptly went up from 0 to 1.3 nm/min during the first 20 seconds of exposure, and then stabilized at ~1.0 nm/min for the remaining 80 seconds of 93 exposure using these conditions. We postulate that the cause of the initial rate elevation might be similar to the finding in the study of Ar+ and XeF2 on Si by Coburn and Winters. 57, 204 The SiO2 surface after exposure to the initial remote plasma was functionalized by excess reactants, which caused a higher rate of material removal upon EB irradiation. The SiO2 ER stabilized at a lower value once the flux of the incoming reactants and electrons reached a steady-state (Fig 4.6(a)). The last step utilized EB and Ar/CF4/O2 gases, where the electron-molecule interactions were analogous to the conventional EBIE. The SiO2 ER sharply dropped to less than 0.1 nm/min within the first 20 seconds of this treatment. This indicates that the EB is highly inefficient with regard to dissociating the CF4/O2 gases and is required for SiO2 etching. It also is consistent with the difficulty that has been found in conventional EBIE that many potential precursors are ineffective etchants. The experimental result of the three-step process revealed these key points: 1) remote plasma energizes the admitted precursors and provides reactive neutrals for surface modification, and 2) etching reaction only takes place at surface area portions that are simultaneously exposed to both remote plasma and EB. 94 FIG. 4.6. (Color online) (a) Schematic diagram of the surface reaction for different exposure conditions. (b) The measured SiO2 etching rate for these exposures using standard conditions. The next approach is aimed at establishing ALE of SiO2 by a sequence of a remote plasma treatment step followed by EB irradiation for surface activation. An example of such a sequential process consisted of a 100-second remote plasma treatment (Step 1) followed by 70- second EB irradiation (Step 2). The operating condition of the remote plasma source and flood gun was kept the same as described in the above example. A 100-second transition between these 95 two steps was used to evacuate residual gases in the reactor and restore the vacuum level to the low 10-6 Torr range. The concept of this processing sequence was to utilize Ar/CF4/O2 remote plasma for the fluorination of SiO2, with the goal of lowering the energy threshold of desorbing etch products. The subsequent EB irradiation step deposited energy onto the modified layer and promoted an etching reaction. Fig 4.7(a) shows in situ ellipsometry measurement and a model that describes SiO2 etching and electron beam-induced deposition. Modeling of the psi-delta evolution was used to interpret the surface modification and film thickness changes. The complex index of refraction (n-ik) of SiO2 and the build-up layer for the modeling was fixed at 1.42-0.00i 205 and 2-0.03i206, respectively. The red dots presented the initial 100-second remote plasma treatment. This did not produce SiO2 etching and kept the psi-delta values unchanged. A 1000 eV focused EB with an EC of 0.5 mA was employed for surface irradiation at a processing time of 220 second for 70 seconds. The blue and orange dots in Fig 4.7(a) describe the initial 15-second exposure and subsequent 55-second EB exposure, respectively. The ellipsometric model suggests that the beginning 15-second promoted two surface reactions simultaneously, i.e., SiO2 etching and deposition, since the psi-delta trajectory followed the combination of these two vectors. The following 55-second EB exposure yielded a deposition reaction. An ellipsometric model was used to measure the detailed thickness change of SiO2 and build-up. 96 FIG. 4.7. (Color online) (a) A two-layer ellipsometric model that describes SiO2 etching and subsequent electron beam-induced build-up and the experimental result of a procedure consisting of remote plasma and an electron beam. (b) Corresponding thickness change profile of SiO2 and build-up. 97 The thickness profile of this sequential process interpreted using a two-layer ellipsometric model is shown in Fig 4.7(b). We did not observe any SiO2 loss during the 100- second remote plasma treatment and the following pump-down transition. In the step introducing a 1000 eV focused EB, SiO2 etching behaved in a self-limited manner where only the first 10- second produced about ~2.4 ? removal thickness. Extending EB processing duration did not remove more SiO2 but contributed to a thicker build-up. The deposition is possibly due to the re- deposition of gas-phase etching products207 or the dissociation of residual gaseous species in the reaction chamber, e.g. gas-phase hydrocarbon from pump fluid177. The thickness profile (Fig. 4.7(b)) supports the ALE concept, realized by temporally combining a remote plasma treatment step and an EB irradiation step. More importantly, with the assistance of a remote plasma source, EB bombardment can be used to activate material removal. 4.3.4 Process Characterization Two prototypical cases exemplify the potential of the new experimental configuration for pattern transfer applications. Here we characterize the surface chemistry for the different processing steps, including EB irradiation, Ar/CF4/O2 remote plasma treatment, and the combination of these. The understanding of the surface modifications provides insights for future development. The following discusses three conditions aimed at clarifying etching mechanisms: 1) an EB irradiated on untreated SiO2 with three exposure times, 2) a sequential treatment consisting of remote plasma treatment followed by an EB step, and shown in Fig 4.7. Finally, 3) the simultaneous interaction of the particle fluxes from the remote plasma and EB sources with the SiO2 surface, and shown in Fig. 4.6. These processes allow us to explore the effect of an 98 electron flux to SiO2, the surface layer modification by Ar/CF4/O2 remote plasma, and the electron-neutral synergy. Since the electron flux from the flood gun only covers a portion of the SiO2 specimen (EB diameter ~5.5 mm), small area XPS analysis was used to compare the chemical composition of this area with different locations on the sample away from the EB outlet. Angle-resolved XPS analyses at take-off angles of 20? and 90? relative to the surface were also used to examine the surface and more bulk-sensitive chemical evolution of SiO2 after these treatments. Therefore, for each sample, we probed three locations, including the area under the EB outlet, 3 mm away from the area under the outlet, and 10 mm away from the area under the outlet. In each case, photoelectrons were acquired at take-off angles of 20? and 90?. This approach allowed us to explore the effect of electron dose and chemical composition with respect to depth, and obtain an understanding of the spatial profile of characteristic elements. In situ ellipsometry was used to initially characterize surface modifications and etching for these treatments. Combining the result of the two measurements enabled us to obtain a more detailed understanding of the real-time surface reactions. EB and Untreated SiO2 Interactions The in situ ellipsometric results for three EB experiments with different exposure times of untreated SiO2 are shown in Fig 4.8(a). The operating conditions were 1000 eV focused EB using 0.5 mA EC and a reactor pressure of 1?10-7 Torr. We evaluated three exposure times: 0 seconds (untreated SiO2), 30 seconds, and 200 seconds. The black dot describes that a 30-second EB irradiating on untreated SiO2 increased the psi value from 62.9? to 63.7? with a slight increase of delta value. The orange dots describe a 200-second EB exposure of untreated SiO2, 99 where the first 30-second shows the identical psi-delta trajectory as the previous run. The following 170 seconds resulted in the psi-delta data moving to the upper-left corner. Two ellipsometric models that describe the reduction of SiO2 and sequentially the modification of the surface SiOx layer were superimposed on the experimental results for reference. The complex index of refraction (n-ik) of SiO2 (1.42-0.00i) and the modification layer (1.47-0.2i) for the modeling was also applied. Randolph?s work66 presented the concept that an electron flux induced the oxygen reduction in SiO2. Following this idea, we postulate that SiO2 undergoes the formation of oxygen vacancies and a thinning reaction from SiO2 to SiOx during electron bombardment. The agreement of the ellipsometric model and experimental results during 30- second EB irradiation of SiO2, suggesting that the SiO2 thickness decreased by a few ?. After application of more than 30-second EB exposure, the psi-delta trajectory deviated from the SiO2 thinning line and instead indicated the modification of this surface SiOx layer. The optical property of this modification layer is very different from the underlying SiO2 layer, where the value of delta significantly changed from 293? to 294? during the sequential 170-second EB exposure. This deviation implies that EB further modified the surface layer to produce an extinction coefficient (k) for light adsorption. Fig. 4.8(b) summarizes the profile of the overall thickness change. The initial 30-second EB irradiation is consistent with 6 ? thinning, and during the following 170-seconds modification took place with the film thickness restored close to the initial. Based on the ellipsometric result, the chemical composition of the surface layer clearly shows differently from untreated SiO2. In situ ellipsometry captured two distinct reactions for EB exposure of untreated SiO2. 100 FIG. 4.8. (Color online) (a) In situ ellipsometry results after 1000 eV focused electron beam irradiates SiO2 with the duration of 200 seconds and an ellipsometric model that describes the reduction of SiO2 thickness. (b) Overall thickness change profile. 101 FIG. 4.9. (Color online) XPS spectra of (a) O1s and (b) F1s with various EB exposure times on SiO2. To validate the interpretation of the in situ ellipsometry measurement with this kind of model, XPS analysis was used to examine SiO2 surfaces subjected to the EB exposure. The 102 intensity of characteristic elemental peaks of SiO2 were examined for three EB exposure times, i.e., 0 seconds, 30 seconds, and 200 seconds. The time evolution of the O1s and F1s spectra is summarized in Fig 4.9(a) and (b). Fig 4.9(a) shows that the untreated SiO2 sample had the highest oxygen intensity when compared to the other samples after EB exposure. A 30-second EB decreased the Si-O intensity, and a longer exposure time of 200 seconds did not result in a further decrease. The time evolution of the F1s spectra is displayed in Fig 4.9(b) and indicates formation of SiO-F bonding during EB exposure time. The uptake of F by the SiO2 surface is plausible, given the fact that the reactor chamber had been exposed to prior fluorocarbon-based remote plasma treatments. The fluorine species adsorbed on the reactor walls provide a finite fluorine pressure in the gas phase.208-210 This residual fluorine can become attached to reactive sites produced on the SiO2 surface during EB bombardment. Fig 4.10 provides an overview of the time evolution of characteristic elemental XPS peak areas measured for an experimental geometry employing a photoelectron take-off angle of 90?. The C1s intensity does not show a noticeable change during the EB exposure time extended from 30 seconds to 200 seconds, implying that the carbon build-up during this time interval was not significant. The O1s intensity only decreased during the initial 30 seconds and subsequently stabilized. The F1s intensity was proportional to the EB exposure time. The XPS result indicates oxygen loss and therefore changes in Si-O bonding during the initial 30 seconds EB bombardment. The XPS results of SiO2 for different EB exposure times are consistent with the changes of the in situ ellipsometry measurements. Both of these measurements confirm that a flux of 1000 eV electrons leads to the formation of oxygen vacancies in SiO2. 103 25 90? XPS on SiO , EB outlet 2 I O 20 5 I Si I C I 0 F 0 50 100 150 200 EB exposure [s] FIG. 4.10. (Color online) Summary of XPS spectra with various EB exposure times on SiO2. Sequential Treatment Consisting of Remote Plasma and Subsequent EB Exposure After the surface characterization identified the reactions induced in SiO2 by EB bombardment, we studied the processing sequence depicted in Fig 4.7(c). It consisted of an Ar/CF4/O2 remote plasma step followed by EB exposure. We also measure the surface chemical composition by XPS at the end of each step. The exposure time was reduced to 5 seconds to minimize electron beam-induced deposition during the EB step. The other flood gun parameters (1000 eV focused EB with a 0.5 mA EC) were unchanged. Fig 4.11 summarizes the O1s and F1s spectra measured for the SiO2 area directly under the EB outlet at two photoelectron take-off angles after a 100-second remote plasma and the sequential 5-second EB, respectively. Fig 4.11 (a) and (b) show that the sequential EB led to an increased oxygen intensity but a decrease in the fluorine intensity, implying that the surface 104 Intensity [a.u.] underwent a defluorination reaction as a result of the electron flux. Such a defluorination reaction has also been seen in plasma-based ALE as a result of ion bombardment.5, 8, 75 The 90? photoelectron take-off angle spectra monitored a deeper region of SiO2 and displayed the opposite trend as what the surface spectra showed. This suggests that the SiO2 bulk underwent a higher degree of fluorination and reduced oxygen intensity after 5-second EB, consistent with what we observed in Fig 4.9. The electron flux reduces SiO2 to SiOx (x<2) and allows fluorine to adsorb to reactive sites thus formed in SiOx. Angle-resolved XPS analysis identified that the surface reactions behaved differently from the bulk after a 5-second EB irradiation on the modified SiO2. 105 FIG. 4.11. (Color online) XPS spectra of using a 20? take-off angle for (a) O1s and (b) F1s photoelectrons and using a 90? take-off angle for (c) O1s and (d) F1s photoelectrons for the procedure of Fig.8(a), respectively. We also measured the surface areas on the SiO2 samples that received a lower EB flux, or no electron flux as a reference. This allows to differentiate the EB effect relative to SiO2 surfaces after the same remote plasma treatment. Three locations were probed: the area under the EB outlet, 3 mm away from the area under the outlet, and 10 mm away from the area under the outlet. While the EB diameter is around 5.5 mm on a substrate, the area under the EB outlet and 3 mm away from the area under the outlet can provide the chemistry information with regard to high and low electron doses. The position at 10 mm away from the area under the outlet is representative of SiO2 that has only been treated by remote plasma. Fig 4.12 summarizes the lateral chemistry evolution for the different processing steps of the procedure depicted in Fig 4.7(c). We observed an increased fluorine peak for the surface portion directly under the EB outlet after the remote plasma treatment. This could be due to two factors: firstly, the surface close to the PLA increased the mean free path of reactants. Secondly, before processing, a sample pretreatment, which comprised 80% O2 remote plasma and 1000 eV focused EB with a 0.15 mA EC for 200 seconds, was used to remove surface contamination and adventitious carbon. Such a pretreatment might locally deposit fluorine into SiO2. While each sample had the same preparation procedure, the intensity difference among the processing steps still delivered the crucial information. Fig 4.12(a) shows that the surface under the EB outlet after a sequential 5-second EB exposure showed increased O intensity and reduced F intensity relative to the remote plasma 106 treated surface. The intensity difference of the O and F spectra between the two steps became smaller as the position being analyzed moved to positions that had received less electron flux. In contrast, Fig 4.12(b) shows the chemistry evolution of the deeper region of SiO2. A 5-second EB exposure on an area under the EB outlet resulted in a significant decrease of the O intensity with an increased F intensity; additionally, the intensity difference of the spectra decreased as the analysis location moved to the 10 mm lateral position which is characteristic of remote plasma only. The spectral changes for the three lateral positions represent the impact of the electron flux to the surface and bulk reactions. The surface underwent a defluorination reaction, whereas the deeper region received more fluorine uptake and showed a decreased oxygen intensity. 107 FIG. 4.12. (Color online) Summary of the characteristic spectra (Si2p, C1s, O1s, and F1s) of SiO2 in the procedure of Fig. 4.7(c). Two take-off angles are used including (a) 20? and (b) 90?. 108 Co-introduction of Remote Plasma and EB on SiO2 The last part of the characterization was focused on probing surface chemistry evolution by comparing the state after remote plasma treatment and the one obtained subsequently when fluxes from remote plasma and EB source were co-introduced. This is schematically shown by the procedure depicted in Fig. 4.6(b). The operating conditions for remote plasma were a flow rate of Ar/CF4/O2 of 10/1/4 SCCM, a 400 W RF source power, and 1.8 mT static magnetic field. The processing parameters of the flood gun were 1000 eV electron energy, 0.5 mA EC, and 100 V control grid voltage. We employed a similar XPS analysis method as used in the previous section to evaluate the spatial distribution of characteristic elements. Figure 4.13(a) and (b) summarize the O1s and F1s spectra using a photoelectron take-off angle of 20?. The data were acquired for SiO2 surfaces at the end of a 80% O2 remote plasma treated, and at the end of the remote plasma/EB co-introduction step, respectively. By XPS analysis the area under the EB outlet was characterized. The surface after the remote plasma/EB co-introduction process showed a slightly increased O intensity with a noticeable reduction of the F intensity. In contrast, Fig 4.13(c) and (d) present the O1s and F1s spectra obtained at an photoelectron take-off angle of 90? for the same procedure. The co-introduction step contributed to a reduced O intensity with an increased F intensity. There is no peak shift after the co- introduction process. The surface chemistry tendencies for SiO2 surface and bulk regions is similar to what we observed in the previous section. 109 FIG. 4.13. (Color online) XPS spectra of SiO2 at the end of remote plasma and simultaneous remote plasma/EB process for the procedure shown in of Fig. 4.6(b), respectively. The O1s (a) and F1s (b) photoelectron spectra were taken at a 20? take-off angle, whereas the O1s (c) and F1s (d) spectra were taken at a 90? take-off angle. We also studied the effect of an electron flux on the chemistry profile transformation of SiO2 by probing different sample positions. In the surface profile in Fig 4.14(a), the O intensity difference between the remote plasma treatment and the remote plasma/EB co-introduction process is positively related to the applied electron flux. The F spectra correlate with the electron dose, where the area under a high electron flux leads to a reduced F intensity. For comparison, the XPS result using a photoelectron take-off angle of 90? is shown in Fig 4.14(b). The area for a 110 high electron dose showed a reduction of the O1s intensity and increased F 1s intensity after the co-introduction step. The F 1s intensity sharply dropped as the lateral position moved from 0 to 10 mm. The surface chemistry evolution difference between surface and bulk SiO2 during the co- introduction step supports our finding that multiple reactions take place concurrently. The comparison of different probing positions at a photoelectron take-off angle of 20? suggests that the remote plasma/EB co-introduction promotes defluorination of the treated SiO2 surface. The degree of defluorination strongly depends on the incoming electron flux. This response agrees with what we observed for the two prior characterization cases. The bulk behaves differently from the surface. The applied electron flux positively correlates to the degree of fluorination. The cause of a decreased O 1s intensity at the area under the EB outlet could be due to two factors: 1) the fluorination reaction modified SiO2 by converting it to SiOxFy, or 2) EB bombardment gave rise to oxygen vacancies. Fig 4.14(a) and (b) provide a comprehensive overview of the spatial, chemical transformation for the case of remote plasma and EB co-introduction of SiO2. 111 FIG. 4.14. (Color online) Summary of the characteristic spectra for the procedure shown in Fig. 6(b). Photoelectron take-off angles of (a) 20? and (b) 90? were used. 112 To summarize our findings for the three surface treatments characterized here, the schematic diagram shown in Fig 4.15 can be used to illustrate the observed interactions. When an EB irradiates an untreated SiO2 surface, the oxygen intensity decreased after 30-second and 200-second EB exposures (see Fig 4.15(a)). This finding agrees with the idea that EB induces oxygen vacancies in SiO2 and previously postulated by Randolph et al. 66. The non-stoichiometric Si-O sites thus formed appear highly reactive when interacting with incident reactants, e.g. fluorine from the reactor walls. Additionally, the XPS spectra showed fluorine uptake during EB exposure of the SiOx layers. The second case discussed a sequential treatment that consisted of an Ar/CF4/O2 remote plasma treatment followed by an EB step (Fig 4.15(b)). The remote plasma modified the SiO2 surface by developing a fluorinated surface layer. During the subsequent EB step, the surface showed desorption of some of the fluorinated layer, whereas the deeper SiO2 region still exhibited formation of oxygen vacancies. The last case studied was the remote plasma/EB co-introduction process (Fig 4.15(c)). Here the SiO2 surface exhibited evidence of multiple reactions, including the uptake of oxygen and fluorine adsorbates and electron-estimated desorption. The surface characterization results obtained with a 90? photoelectron take-off angle suggests that EB promotes the desorption of oxygen from SiO2 on the one hand, and adsorption of fluorine from remote plasma on the other. The SiO2 responses seen for the three cases are consistent with each other. 113 FIG. 4.15. (Color online) A schematic diagram that describes surface reaction under three different processing modes including (a) EB irradiation on SiO2, (b) EB irradiation on modified SiO2, and (c) the co-introduction of electrons and radicals. 114 4.4 Summary and Conclusions This work developed a new experimental configuration that integrates a remote plasma source and a flood gun for enabling EBIE of SiO2 with Ar/CF4/O2 remote plasma generated radicals to enable atomic scale etching of SiO2. The dependence of SiO2 etch rate on electron flood gun parameters shows that as the EC varies from 0.01 mA to 0.3 mA, the SiO2 ER scales primarily with the electron flux. When the applied EC was above 0.3 mA, the SiO2 ER was controlled by the chemical species flux produced by the remote plasma source. Here the SiO2 etching rate depends on the replenishment rate of adsorbates, the residence time of precursors, and the desorption rate of etching products is primarily controlled by the EB. The process parameters of the remote plasma source covered an RF source power range from 100 W to 600 W. This range did not affect the SiO2 ER for an electron current below 0.05 mA, but once the electron current had been raised to 0.5 mA, operation of the remote plasma source at 600 W source power produced a higher SiO2 ER than a 100 W power condition. Since the RF source power controls the degree of CF4 and O2 dissociation of the plasma, a high source power provides more reactive neutrals and enhances SiO2 ER for conditions for which the electron current is sufficiently high. The composition of the F and O radical fluxes can be adjusted by adjusting the CF4/O2 flow rate into the ECWR source. The flexibility of adjusting the composition of incident species fluxes and resulting SiO2 surface chemistry has been demonstrated. The SiO2 ER peaks at 20% O2, where the CF4 oxidation reaction generates the maximum fluorine flux to the SiO2 surface. By increasing the partial pressure of oxygen decreases the SiO2 ER because of reduced fluorine atom arrival and re-oxidation of SiO2. 115 We developed two prototypical processing cases for etching applications. The first case consists of a sequence of a 100-second remote plasma, followed by 100-second co-introduction of remote plasma and EB, and, lastly, 100-second EB with Ar/CF4/O2 only. SiO2 ER only takes place during the co-introduction step, which validates the importance of a remote plasma source and the concept that electrons activate material removal. The second case studied consisted of a sequence of 100-second Ar/CF4/O2 remote plasma treatment and subsequent 70-second EB irradiation. The remote plasma treatment did not lead to SiO2 etching, but during the following EB irradiation step 2.4 ? SiO2 were removed in a self-limited manner. The results of these two prototypical processing cases demonstrates the promising etching capability. The last section characterized the surface chemistry evolution for three different processing sequences using both in situ ellipsometry and XPS. The first sequence examined untreated SiO2 for three EB exposure times. The experimental result revealed that a 30-second EB exposure decreased the oxygen content of SiO2, likely due to the formation of oxygen vacancies. When the EB exposure time was extended to 200 seconds, this did not lead to further oxygen intensity changes. We also observed EB-induced fluorine uptake from residual fluorine in the vacuum chamber. In this case the surface fluorine intensity increased with the EB exposure time. The second sequence studied involved the surface chemistry transformation for sequential treatments involving remote plasma treatment and EB irradiation. XPS analysis was performed in a spatially resolved fashion after each processing step. The EB step of a SiO2 surface modified by 80% O2 remote plasma showed defluorination of the SiO2 surface. Deeper laying SiO2 regions still showed fluorine uptake and reduced oxygen intensity as a result of EB bombardment. Finally, the SiO2 surface chemistry for remote plasma/EB co-introduction was 116 examined. The spatial composition profiles varied in a fashion that was consistent with the prior results. The new experimental configuration comprising a remote plasma source and an electron flood gun source has demonstrated extraordinary etching performance. This approach opens up a novel processing approach for atomic scale semiconductor processing. Future studies need to explore the capability of etching different materials, including leveraging the ability to selectively functionalize different materials, and thus achieve materials etching selectivity, along with understanding the corresponding surface reaction mechanisms. 4.5 Acknowledgments The authors gratefully acknowledge the financial support of this work by ZEISS SMT GmbH. We thank Dr. P. Luan, Dr. C. Li, Dr. A. Pranda, Y. Li, M. Hinshelwood, S.J. Chung, and P. Mercedes for helpful discussions and collaboration. The authors also thank T. Weimar, N. Ballew, and Dr. D. Schamdel from Energy Research Facility at University of Maryland for the design and fabrication of the DPU and PLA units. 117 Chapter 5: Electron beam-induced etching of SiO2, Si3N4, and poly-Si assisted by CF4/O2 remote plasma Manuscript in preparation K. Y. Lin, C. Preischl, C. F. Hermanns, D. Rhinow, H.-M. Solowan, T. Hofmann, B Michael, K. Edinger, and G. S. Oehrlein K.Y. Lin contributed to the design and conduction of the research, to the analysis of the experimental results, and to the writing of the manuscript. All authors discussed the results, commented on and made changes to the manuscript. ABSTRACT Electron-stimulated desorption (ESD) of the surfaces functionalized by remote plasma is a flexible and novel approach for material removal. In comparison to plasma dry etching which uses the ion-neutral synergistic effect to control material etching, electron beam-induced etching (EBIE) uses an electron-neutral synergistic effect. This approach appears promising for the reduction of plasma damage, including atomic displacement, along with the potential for greater control and lateral resolution. One challenge for EBIE is the limited number of chemical precursor molecules that can be used to produce functionalized materials suitable for etching under electron beam irradiation. In this work we studied a new experimental configuration that 118 utilizes a remote plasma source to functionalize surfaces in conjunction with electron beam irradiation by an electron flood gun. Etching rates (ER) of SiO2, Si3N4, and poly-Si are reported in a broad survey of conditions. The parametric dependence on flood gun and remote plasma source operating parameters on these Si-based materials is evaluated. We also identified the processing parameters that enable realization of material selective removal, e.g. etching selectivity of Si3N4 over SiO2 and poly-Si over SiO2. Additionally, surface characterization of etched materials is used to clarify the effects of co-introduction of particle fluxes from the remote plasma and flood gun sources on surface chemistry. 119 5.1 Introduction Plasma etching utilizes the ion-neutral synergistic effect to achieve pattern transfer into a thin film material on a substrate, and is extensively used in semiconductor manufacturing.11, 111, 151-153 With device miniaturization, plasma-enhanced atomic layer etching (ALE) that temporally separates a surface functionalization step and an ion bombardment step has been developed for the improvement of etching performance, including profile control and etching selectivity.12, 14-16, 87, 155 However, plasma in contact with a material intrinsically develops a sheath potential on the material surface, which causes ion bombardment of the substrate and inevitably results in material sputtering by ions and defect formation in the material. Exploiting the electron-neutral synergistic effect by combining fluxes of chemical precursors and energetic electrons for electron beam-induced etching (EBIE) is a possible approach for mitigating plasma damage. One challenge is that there is only a small number of precursor molecules that can be used for EBIE. This is in contrast to the use of stable molecules unsuitable for EBIE that can be readily converted into reactive species in a plasma where these molecules are effectively dissociated. To achieve damage-free pattern transfer and expand available etchants in EBIE, we have developed a new experimental configuration that consists of a remote plasma source and an electron flood gun to utilize EBIE for mitigating plasma damage. A companion study has demonstrated the processing capability of this approach for etching SiO 2112. In that work results were described for simultaneous or sequential remote plasma treatment and electron beam (EB) irradiation. This work uses the identical experimental configuration and explores the parametric dependence of the etching rate (ER) of SiO2, Si3N4, and poly-Si on the electron flood gun and remote plasma source conditions. Material etching selectivity is one of the important criteria of 120 an etching process. Repair of defective deep ultraviolet (DUV) photomasks, for example, requires a precise, selective material removal for etching of Si N 1773 4 with selectivity to SiO2. There are many semiconductor process examples where etching selectivity of Si over SiO2 is an important factor.63, 152, 153, 212 This article begins with the evaluation of SiO2, Si3N4, poly-Si ER using Ar/CF4/O2 remote plasma only. A remote plasma source can be used to modify SiO2, Si3N4, and poly-Si surfaces by the reactive particle fluxes generated, and the fluxes will depend on plasma power. The impact of the electron flood gun will depend on electron emission current and energy. In situ ellipsometry is used to monitor surface modifications and etching of SiO2, Si3N4, and poly-Si for various combinations of the operating parameters. Subsequently, we identify for which conditions material etching selectivity of Si3N4 over SiO2 or poly-Si over SiO2 Can be achieved. To mechanistically understand the chemical evolution of these Si-based materials as a function of particle exposure, we employ angle-resolved x-ray photoelectron spectroscopy (XPS) analysis of exposed/etched surfaces for different electron fluxes and precursor chemistries. The characterization results allow us to correlate the etching mechanisms of the Si-based materials with operating conditions. 5.2 Experimental The experiments were conducted in a vacuum reactor, comprised of a flood gun on the top of the chamber and an electron cyclotron wave resonance (ECWR) remote plasma source on the side. The base pressure of the reactor before a process is at 1?10-7 Torr, and the reactor pressure with precursor injection is raised to 1.8 mTorr. The flood gun used is a commercial Kimball Physics EGA-1012 Electron Gun with a standard yttria-coated disc cathode. The 121 cathode at room temperature can be exposed to atmospheric gases without damage, and the minimum vacuum to run this cathode is 10-5 Torr. A differential pump unit (DPU) was designed and installed to improve the vacuum level in the flood gun chamber. The DPU bottom was attached to a custom-made pressure-limited aperture (PLA), establishing a pressure ratio between the reactor (1?10-3 Torr) and the flood gun chamber (1?10-6 Torr) of about 1000. The remote plasma source consists of a COPRA DN160 ECWR plasma source running at 13.56 MHz radiofrequency (RF) with a neutralization plate.179 The neutralization plate was made by electrically grounding an aluminum plate covered with Kapton tape and a 4-inch diameter quartz plate at the center to mitigate plasma erosion. The neutralization plate is to filter out the ions generated by the ECWR plasma source, allowing only neutrals to diffuse into the reactor. Prior studies have presented a detailed description of this remote plasma source180, 181 and the characterization of etching results on Si-based materials182-185. The ECWR effect requires an additional static magnetic field, exploiting the interaction of an electromagnetic wave with plasma.179 Most experiments were conducted at a 400 W RF source power and 1.8 mT static magnetic fields to the ECWR system. Typical processing parameters for the flood gun were 1000 eV electrons at 0.5 mA emission current (EC) and a 100 V control grid voltage. The sample holder is made of electrically grounded stainless steel with backside cooling achieved by circulating water at 10 ?C. A more detailed description of the experimental configuration can be found in the prior chapter.211 The flood gun consists of a cathode, a control grid, and an anode for independent control of electron energy, EC, and beam convergence angle. The voltage between cathode and anode regulates the electron energy, ranging from 5 eV to 1000 eV. Cathode temperature governs the 122 flux of emitted electrons, where EC is proportional to working temperature. A high working temperature, however, accelerates the evaporation rate of the yttria coating and reduces the filament lifetime. The maximum EC under 1000 eV electron energy can emit a current up to 1.5 mA. Our experiments employed a 0.5 mA or lower EC to extend the lifetime of the flood gun. Calibration of the beam diameter on a substrate was done by utilizing a customized phosphor screen with 2 mm spacing grids from Kimball Physics. The in-vacuum length of the firing unit was adjusted for meeting a 38.3 mm working distance from the flood gun outlet to a substrate, so a 1000 eV EB with the maximum grid voltage, 100 V, produced a ~5.5 mm beam diameter on the calibration screen. In the case of studying the dependency of electron energies on etching performance, the control grid voltage was adjusted accordingly to keep the spot size constant. Beam current was measured using a Faraday cup connected to a picoammeter. Our experimental setup with the installation of a PLA provides the ratio between beam current and EC of about 70%. Most of the experiments employed 1000 eV electron energy with a 0.5 mA EC and a 100 V grid voltage, generating a current density of 1.5 mA/cm2. In situ ellipsometry with a He-Ne laser operating at a wavelength of 632.8 nm mounted on the reactor allowed us to study surface modification and etching in real-time.38 The ellipsometry laser was aligned using the calibration phosphor screen to a location where a 1000 eV focused electron beam was irradiated. Therefore, the ellipsometer can collect the psi-delta value of the area treated by remote plasma, electron beam, and its combination. The sampling rate of the ellipsometer was set to 5 Hz (0.2 seconds per point) for all experiments. XPS analyses have been performed at the end of selected treatments to evaluate surface chemistry evolution. The samples were transferred under vacuum using a specimen transfer 123 device to a Vacuum Generators ESCALAB MK II surface analysis system for XPS measurements. Small area XPS measurement was used to probe surface portions that concurrently interact with particles from the remote plasma but differ in electron beam exposure (diameter of analysis area ~ 5-6 mm).186 High resolution scans of the Si2p, C1s, O1s, N1s, and F1s photoelectron spectra were obtained at 20 eV pass energy at an electron take-off angle of 20? (shallow probing depth ?20-30 ?) and 90? (deep probing depth ?80 ?) with respect to the sample surface. The spectra were fitted using least square fitting after Shirley background subtraction.39, 40 5.3 Results and Discussion 5.3.1 Remote Plasma on SiO2, Si3N4, and Poly-Si The characterization of the SiO2, Si3N4, and poly-Si ER on remote operating parameters provides a basis before studying etching processes involving co-introduction of remote plasma and EB. Operating conditions were 10 SCCM Ar flow rate, variable CF4/O2 gas mixtures with a total CF4 and O2 flow rate of 5 SCCM, 1.8 mT DC magnetic fields, and three RF source powers of 100 W, 400 W, and 600 W. The reactor chamber was held at 1.8 mTorr in the presence of the DPU and PLA. Ar is used as a carrier gas to dilute the concentration of gas-phase reactants to minimize erosion of the yttria-coating disc in the flood gun. Fig 5.1 shows SiO2, Si3N4, and poly-Si ERs under remote plasma as a function of varying the relative CF4/O2 flow rates and RF power. The CF4/O2 remote plasma produces the maximum fluorine flux at 20% O2, which has been explained by CF4 oxidation reactions. 181, 182, 184, 185 No SiO2 ER was observed for all oxygen concentrations and RF powers studied (see Fig 124 5.1(a)). Si3N4 etching only took place at a rate of up to ~0.2 nm/min for operating conditions of 600 W RF power and with the O2 concentration ranging from 20% to 60% (Fig 5.1(b)). In contrast, the poly-Si ER peaked at 20% O2 for the three RF powers examined (see Fig 5.1(c)). The results show that bond strength is a limiting factor for etching reactions, where a high bond strength material requires more energy to activate surface functionalization and material desorption. Poly-Si has a lower bond strength and is highly reactive. Tthe Si ER can be used to provide a lower bound of the fluorine atom flux from the remote plasma source. 125 (a) ECWR, O +CF = 5 sccm2 4 Ar 10 sccm, 1.8 mTorr 2 RF 100 400 600 (W) 1 0 (b) RF 100 400 600 (W) 2 1 0 (c) RF 100 400 600 (W) 2 1 0 0% 20% 40% 60% 80% 100% O /(O +CF ) 2 2 4 FIG. 5.1. (Color online) The etching rate of (a) SiO2, (b) Si3N4, and (c) poly-Si using remote plasma with various relative CF4/O2 flow rates and RF powers. The RF source power is used to control the energy input into the ECWR plasma source, and can be used to manage the degree of dissociation of injected precursors and thus the number 126 Poly-Si etch rate [nm/min] Si N etch rate [nm/min] SiO etch rate [nm/min] 3 4 2 of excited particles in the reactor. Fig 5.1(c) shows that the poly-Si ER peaks at 20% O2 and is further enhanced as the RF power increased from 100 W to 600 W. For O2-rich operating conditions, a high RF power promotes the dissociation rate of oxygen in the remote plasma source and admits more oxygen-based neutrals to the reactor. The poly-Si forms an oxidized surface layer for these conditions which reduces the probability of reaction with gas-phase fluorine reactants. For example, the poly-Si ER at 80% O2 with 600 W RF was lower than seen for 100 W and 400 W discharges, whereas for CF4-rich plasma the opposite is the case. RF power can be used to regulate the number of exciting neutrals into the reactor for surface modification. 5.3.2 Simultaneous Exposure of Surfaces to Electron Beam and Remote Plasma Fluxes for SiO2, Si3N4, and Poly-Si Etching After the evaluation of SiO2, Si3N4, and poly-Si etching using remote plasma only, we screened the dependence of ER of the same set materials on processing parameters when EB and remote plasma fluxes interacted simultaneously with surfaces. Similar to the previous chapter, we examined the influence of four process parameters, i.e., EC and electron energy of the electron flood gun, and the RF source power and CF4/O2 composition of the gas flow into the remote plasma source. Since the electron convergence angle is regulated by the electron energy and control grid voltage, the grid voltage was adjusted when studying the lowest electron energy by keeping the beam diameter on the substrate unchanged. A study of the parametric dependency provides a fundamental understanding of relative ER among these Si-based materials, which can be used to develop selective material removal. 127 (a) EB+ECWR, 1 keV FEB O 20% 40% 80% 2 6 4 2 0 (b) O 20% 40% 80%2 6 4 2 0 (c) O 20% 40% 80%2 6 4 2 0 -3 -2 -1 0 10 10 10 10 Emission current [mA] Fig. 5.2. (Color online) The etching rate of (a) SiO2, (b) Si3N4, and (c) poly-Si as a function of electron emission current Fig 5.2 presents the results of the SiO2, Si3N4, and poly-Si ERs versus emission current and for three different CF4/O2 gas compositions. The operating conditions of the remote plasma 128 Poly-Si etch rate [nm/min] Si N etch rate [nm/min] SiO etch rate [nm/min] 3 4 2 was kept at a 400 W source power, 1.8 mT magnetic field, 10 SCCM Ar, and a total CF4 and O2 flow rate of 5 SCCM, respectively. The operating parameters of the EB were 1000 eV with the control grid voltage of 100 V. The ER of SiO2 and Si3N4 showed similar behavior, and for 20% O2 and 40% O2 comparable ER were seen when the EC was varied from 0.005 mA to 0.5 mA. For 80% O2 in CF4/O2, the SiO2 and Si3N4 ERs were significantly suppressed. The results of the poly-Si ER as a function of EC and for different oxygen concentrations in CF4/O2 are shown in Fig 5.2(c). The poly-Si ER strongly depends on both precursor chemistry and electron flux. For 20% O2 in CF4/O2 and 0.5 mA EC, the poly-Si ER is boosted, whereas for O2-rich operating conditions little etching is seen for all ECs. Fig 5.3 summarizes the impact of reducing electron energy from 1000 eV to 300 eV on SiO2, Si3N4, and poly-Si ER. The figure shows that both the SiO2 and Si3N4 ER decrease with applied electron energy, whereas the poly-Si ER behaved opposite. We postulate that this trend is related to the combination of the bond strength of materials and the interaction cross-section of electrons with gas-phase reactants and adsorb reactive species. Typically, at an electron energy of about 100 eV, the cross-section for dissociative ionization66, 194-198 reaches a maximum. For the material with intermediate or high bond strength, such as SiO2 and Si3N4, 300 eV electrons are more efficient in terms of depositing energy to adsorbates than 1000 eV electrons, and thus enable improved desorption of etching products for reduced electron energies. Poly-Si has a low bond strength and is strongly reactive with fluorine and oxygen atoms. Here 300 eV electrons are more efficient in driving oxidation reactions than desorption reactions. This may explain the observation that 300 eV electrons produce different ER profiles for SiO2, Si3N4, and poly-Si. 129 4 EB+ECWR, 40% O , EC 0.05 mA 2 3 SiN 2 Poly-Si 1 SiO 2 0 200 400 600 800 1000 Electron energy [eV] FIG. 5.3. (Color online) The etching rate of SiO2, Si3N4, and poly-Si as a function of electron energy Next, we explored the effect of remote plasma source operating parameters on SiO2, Si3N4, and poly-Si ER. The operating parameters of the electron flood gun were kept at 1000 eV electron energy, a 0.5 mA EC, and a control grid voltage of 100 V. RF power is used to control the degree of dissociation rate of injected precursor gases and the number of reactive particles in the reactor. We have discussed the profile of the SiO2 ER under various RF powers and ECs in the previous chapter.211 The Si3N4 and poly-Si ER show a stronger dependence on applied RF power of the remote plasma source, especially in the range between 100 W and 400 W. When more than 600 W RF power was applied to the remote plasma source, the enhancement of the Si3N4 and poly-Si ER became insignificant. The behavior may be related to the number of fluorine reactants generated by the remote plasma source. Fig. 5.1(c) shows the poly-Si ER for different oxygen concentrations and RF powers. The use of 400 W and 600 W RF power 130 Etch rate [nm/min] produced similar ER for 40% O2 in CF4/O2. It implies that the remote plasma source at a higher RF power does not generate more fluorine atoms in the etching reactor. In contrast, the use of 100 W RF power led to a lower Si ER, implying a lower fluorine atom flux from the remote plasma source that can react with the Si surface. The results of Figs. 5.4(b) and (c) show that only between 100 W and 400 W an increase of the RF power of the remote plasma source strongly enhances both Si3N4 and poly-Si ER. 131 (a) EB+ECWR, 1 keV FEB, 40% O2 4 RF power (W) 600 2 400 100 0 (b) RF power (W) 4 600/400 100 2 0 (c) 4 RF power (W) 2 600 400 100 0 -3 -2 -1 0 10 10 10 10 Emission current [mA] FIG. 5.4. (Color online) The etch rate of (a) SiO2, (b) Si3N4, and (c) poly-Si as a function of emission current and RF power. Fig 5.5 summarizes SiO2, Si3N4, and poly-Si ERs for various ECs and relative CF4/O2 flow rates for simultaneous exposure of these materials to fluxes from the remote plasma and EB 132 Poly-Si etch rate [nm/min] Si N etch rate [nm/min] SiO etch rate [nm/min] 3 4 2 sources. The ER measured for remote plasma source only has been included for reference. Figures 5.5(a) and (b) demonstrate that the co-introduction of particles from the EB and remote sources induces SiO2 and Si3N4 etching. SiO2 ER is less dependent on the precursor chemistry from the remote plasma, but more strongly depends on EC. For the more reactive Si3N4 and poly-Si materials, a stronger role of precursor chemistry for material removal can be seen. For these materials, CF4-rich operating conditions provided a higher ER than the O2-rich operating conditions. Fig 5.5(c) also indicates that there is an effect of EB-induced dissociation that takes place for high EC on poly-Si. The results of using remote plasma only with various RF powers and relative CF4/O2 flow rates on poly-Si gives rise to a maximum flux of fluorine reactant, and thus ER, at an oxygen concentration of 20%. When the oxygen concentration is below 20%, fewer dissociated fluorine atomic species are produced because of the reduced importance of oxygen- induced CF4 oxidization reactions. This reduces the poly-Si ER for these conditions. For co- introduction of remote plasma and EB, the poly-Si ER peaks at 10% O2 for 0.5 mA EC. We postulate that this enhancement is because the EB is capable to dissociate fluorocarbon molecules through electron-gas and electron-adsorbate interactions and thus increases the poly-Si ER for these conditions. The variation of SiO2, Si3N4, and poly-Si ER with process parameters of the remote plasma source and electron flood gun has revealed a processing window for material selective removal. The etching selectivity of Si3N4 over SiO2, for example, is an important criterion for DUV photomask repair application, where the EB needs to precisely remove the defective Si3N4 pattern without damaging the underlying SiO 1772 substrate. The remote plasma source alone can 133 be used for surface functionalization but did not cause Si3N4 and SiO2 etching. This indicates that the ER for these materials can be strongly localized to the positions where the electron beam strikes the surface. (a) ECWR, O +CF = 5 sccm2 4 4 EB+ECWR, 1keV FEB EC 0.01 0.05 0.1 0.3 0.5 (mA) 2 0 (b) 4 2 0 8 (c) 6 4 2 0 0% 20% 40% 60% 80% 100% O /(O +CF ) 2 2 4 134 Poly-Si etch rate [nm/min] Si N etch rate [nm/min] SiO etch rate [nm/min] 3 4 2 FIG. 5.5. (Color online) The etch rate of (a) SiO2, (b) Si3N4, and (c) poly-Si as a function of emission current and relative CF4/O2 flow rate. 5.3.3 Etching Selectivity Development The development of material etching selectivity by screening the operating variables of the remote plasma and EB sources is important for etching applications. The following discusses the use of combined fluxes from the remote plasma and EB sources for achieving etching selectivity of Si3N4 over SiO2 and poly-Si over SiO2 by varying CF4/O2 composition and EC. The other operating conditions were fixed, i.e. the flood gun was operated with a 1000 eV electron energy and a control grid voltage of 100 V; the remote plasma source RF power was kept at 400 W, 1.8 mT static magnetic fields, 10 SCCM Ar flow rate. The CF4/O2 gas flow was varied with the constraint of a total CF4 and O2 flow rate of 5 SCCM. Fig 5.6(a) summarizes the etching selectivity of Si3N4 over SiO2 with various ECs and relative CF4/O2 flow rates. When the applied EC ranges between 0.1 mA and 0.5 mA, the measured etching selectivity of Si3N4 over SiO2 for all oxygen concentrations studied falls between 0.1 and 2. The CF4-rich operating conditions slightly improved the etching selectivity. When the operating conditions were 40% O2 and 0.01 mA EC, the etching selectivity of Si3N4 over SiO2 has been significantly improved reaching a value of more than 6. The result of Figs. 5.5(a) and (b) clearly illustrates that SiO2 etching is primarily dependent on the electron flux, whereas Si3N4 etching is governed by both electron flux and precursor chemistry. We may apply the CF4-rich operating condition with a low electron flux on the substrate to promote Si3N4 etching but simultaneously to limit the SiO2 ER. 135 The results on etching selectivity of poly-Si over SiO2 with various ECs and relative oxygen concentrations are shown in Fig 5.6(b). The poly-Si ER is governed by both precursor chemistry and EC. The peak poly-Si ER is seen for an O2 concentration of 10% and 0.5 mA EC. In contrast, SiO2 ER is driven by the electron flux and shows a slight reduction for oxygen concentrations higher than 20%. By combining these factors it is possible to optimize the poly- Si/SiO2 etch rate ratio. Figure 5.6(b) shows a maximum etching selectivity of poly-Si over SiO2 at 10% O2 with 0.5 mA EC. This result indicates that further improvements of the poly-Si/SiO2 etching selectivity may be possible by using CF4-rich operating conditions and an EC of more than 0.5 mA. 136 FIG. 5.6. (Color online) The etching selectivity of (a) Si3N4 to SiO2 and (b) poly-Si to SiO2 as a function of emission current and relative CF4/O2 flow rate. 137 5.3.4 Process Characterization The development of etching selectivity of Si3N4 over SiO2 and poly-Si over SiO2 exemplifies the potential of etching processes based on the co-introduction of EB and remote plasma for selective material removal. Here we characterize the surface chemistry of SiO2, Si3N4, and poly-Si for different ECs, including 0 mA, 0.01 mA, and 0.5 mA, and oxygen concentrations used for the CF4/O2 gas mixture excited in the remote plasma source (20% O2 and 80% O2). Each operating condition was applied for 100 seconds to the specimens to ensure that the reaction reached a steady state. Since the electron flux from the flood gun only covers a portion of the specimen (EB diameter ~5.5 mm), small area XPS analysis was used to measure the chemical composition of the area under the EB outlet, and compare this with surface portions that only received the neutral fluxes from the remote plasma source. Angle-resolved XPS analysis using take-off angles of 20? and 90? relative to the surface was used to compare the surface chemical evolution as a function of depth by distinguishing the more surface and more bulk sensitive analysis after each processing step. By summarizing these data, we gain insights on the effects of EC and precursor chemistry on these materials that are characterized by different reactivity with fluorine and oxygen atoms. Figures 5.7(a) and (b) summarize the O1s and F1s spectra of poly-Si using a 20? photoelectron take-off angle. The operating condition of the flood gun was 1000 eV electron energy with three ECs of 0 mA, 0.01 mA, and 0.5 mA; the operating condition of the remote plasma source was 400 W RF power with 20% O2. Figure 5.7(a) shows that the poly-Si shows a higher oxygen intensity when the applied EC increases from 0 mA to 0.5 mA. The F1s spectra show that exposure to a high EC reduces the total fluorine intensity and shifts the peak from SiO- 138 F to Si-F bonding. We postulate that a surface defluorination reaction is driven by electron bombardment, which stimulates desorption of SiFx-products. These kinds of defluorination reactions are known from plasma-based dry etching, where ion bombardment drives the surface reactions, including fluorination of Si-based materials and desorption of fluorinated etching products.5, 8, 40, 75 The desorption of fluorinated products leads to the reduction of the surface fluorine intensity. A higher degree of surface oxidation is found after the use of 0.5 mA EC, even when a low percentage of 20% O2 is employed. This observation may be related to electron- induced dissociation of O2. According to this, the EB deposits energy into gas-phase or surface adsorbed oxygen species and atomic oxygen thus produced gives rise to oxidation of the Si substrate. This idea is consistent with the variation of the poly-Si ER shown in Fig 5.5(c) for 0.5 mA EC, the poly-Si ER peaks at 10% O2. A high EC for the combined EB/remote plasma process induces multiple surface reactions for poly-Si. Figures 5.7(c) and (d) summarize the O1s and F1s spectra of poly-Si using a 90? photoelectron take-off angle. The O1s spectra show that the deeper region of poly-Si has a comparable, low oxygen intensity after treatments for which three EC were examined. This observation suggests that the EB-induced oxygen dissociation affects primarily the top surface of the Si substrate. A reduction of the F intensity has been observed for a 90? photoelectron take-off angle as compared to the highly surface sensitive measurement. This indicates that both the surface and deeper-lying regions of the poly-Si undergo defluorination reactions with the assistance of EB. 139 FIG. 5.7. (Color online) XPS spectra for treated poly-Si using 20? take-off angle for (a) O1s and (b) F1s photoelectrons and using 90? take-off angle for (c) O1s and (d) F1s photoelectrons. The operating conditions are 20% O2 remote plasma and 1000 eV focused EB using emission currents of 0 mA, 0.01 mA, and 0.5 mA, respectively. To identify the effect of precursor chemistry, XPS analysis was also used to measure poly-Si after the co-introduction process using 80% O2 for ECs of 0 mA, 0.01 mA, and 0.5 mA. Figs. 5.8(a) and (b) show the O1s and F1s spectra of poly-Si using a 20?photoelectron take-off angle. The surface oxygen intensity increases for higher EC, and overall increases from 0.1 to 0.43. In contrast, the surface fluorine intensity is reduced slightly as the applied EC is increased. The surface spectra suggest that when the precursor chemistry changes from the CF4-rich 140 condition to the O2-rich condition, the main surface reaction shifts from fluorination to oxidation. The gas-phase reactants from the remote plasma source play an important role in the chemical composition of the surface reactive layers. The O1s and F1s spectra shown in Figs. 5.8(c) and (d) for a 90? take-off angle also exhibit a similar trend as what was shown for the more surface- sensitive spectra shown in Figures 5.8(a) and (b). The O2-rich operating condition promotes oxidation reactions at the surface and to a more limited extent for the deeper-lying regions of poly-Si. FIG. 5.8. (Color online) XPS spectra for treated poly-Si of using 20? take-off angle for (a) O1s and (b) F1s photoelectrons and using 90? take-off angle for (c) O1s and (d) F1s photoelectrons. The operating condition is combined remote plasma/EB exposure using a CF4/80% O2 remote 141 plasma and 1000 eV focused electrons at emission currents of 0 mA, 0.01 mA, and 0.5 mA, respectively. We integrated the characteristic XPS peak areas of poly-Si for the three different conditions reported above. This summary is shown in Figs. 5.9(a) and (b), and provide an overview of the effect of electron flux and precursor chemistry on the surface and deeper-lying region of poly-Si. Increasing the EC from 0 mA to 0.5 mA results in a reduction of surface F intensity for both 20% O2 and 80% O2 remote plasma operating conditions. The surface oxygen uptake reaches a peak for the combination of 0.5 mA EC and 80% O2. The area of the O1s and F1s peaks using a 90? take-off angle is shown in Fig 5.9(b). The evolution of the oxygen intensity in poly-Si exhibits a strong dependence on precursor chemistry and EC. Little change of the fluorine intensity is seen at 80% O2 as the EC was increased. A comparison of these data with those obtained with Si3N4 and SiO2 provides a better understanding of the impact of process parameters for combined remote plasma/EB source treatments on surface chemical composition. 142 FIG. 5.9. (Color online) Integrated O1s and F1s peak areas obtained with poly-Si after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios. Photoelectrons were collected at (a) 20? and (b) 90? take-off angles. 143 Integrated O1s and F1s peak areas obtained with Si3N4 after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios are shown in Figs. 5.10(a) and (b) for 20? and 90? photoelectron take-off angles, respectively. As a result of EB exposure of Si3N4 subjected to remote plasma effluent, the surface (Fig 5.10(a)) experienced some degree of defluorination for both CF4-rich and O2-rich remote plasma conditions, with the major reduction of the F peak seen at fairly small electron beam currents. This may be related to the case with which fluorinated etching products can be desorbed from fluorinated Si N .13 4 For 80% O2 to the remote plasma source, the surface O intensity is noticeably increased, while the surface N intensity is reduced. This effect is most pronounced at 0.5 mA EC. On the other hand, for 20% O2 to the remote plasma source little change in both O and N intensities is seen for all applied ECs. This observation suggests that for combined remote plasma/EB source treatments using O2-rich and high EC conditions, nitrogen sites on the surface Si3N4 are replaced by oxygen with the assistance of EB. Figure 5.10(b) shows the evolution of the deeper-lying region of Si3N4 for combined remote plasma/EB source treatment. The oxygen and nitrogen intensities depend less on applied EC but more on the composition of precursor chemistry. When 80% O2 is used, the oxygen level is increased and nitrogen is reduced relative to conditions when 20% O2 is employed. The fluorine intensity for surface and more bulk-sensitive measurements showed little change when a 0.01 mA EC was applied to the substrate. Overall, combined remote plasma/EB source treatments caused the surface chemical evolution of Si3N4 to depend strongly on the precursor chemistry of the remote plasma source. 144 FIG. 5.10. (Color online) Integrated O1s and F1s peak areas obtained with Si3N4 after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios. Photoelectrons were collected at (a) 20? and (b) 90? take-off angles. 145 Finally, the chemical evolution of SiO2 after combined remote plasma/EB source treatments using take-off angles of 20? and 90?, respectively, is described. Figure 5.11(a) summarizes the surface oxygen and fluorine intensities versus EC and precursor chemistry, respectively. Overall, the elemental variations seen are weaker for SiO2 than for Si3N4 and poly- Si, but there is defluorination evident as the applied EC is increased up to 0.5 mA for both 20% O2 and 80% O2 admitted to the remote plasma source. Figure 5.11(b) shows the oxygen and fluorine intensities of SiO2 when using a 90? photoelectron take-off angle for the combined remote plasma/EB process. For a 20% O2 remote plasma chemistry, the oxygen and fluorine intensities show little dependence on the applied EC over the range from 0 mA to 0.5 mA. In contrast, for the 80% O2 operating condition, a slightly higher fluorine intensity and the corresponding reduction of the oxygen intensity are seen as the EC was increased from 0 mA to 0.5 mA. This observation may be related to the SiO2 surface being passivated by oxidation when using 80% O2 remote plasma and a high EC of 0.5 mA. In this case, fluorine reactants from the adsorbate may only be able to diffuse to the deeper-lying regions of SiO2 with the assistance of EB, thus increasing the fluorine intensity for those conditions. It is possible that this behavior is not seen for CF4-rich remote plasma operating conditions since in that case the reactive surface species are rapidly removed by electron bombardment from the EB source. 146 FIG. 5.11. (Color online) Integrated O1s and F1s peak areas obtained with SiO2 after combined remote plasma/EB source treatments using various electron emission currents and CF4/O2 ratios. Photoelectrons were collected at (a) 20? and (b) 90? take-off angles. 147 5.4 Summary and Conclusions This work described the use of a new experimental configuration that integrates a remote plasma source and an electron flood gun for enabling EBIE of SiO2, Si3N4, and poly-Si with Ar/CF4/O2 remote plasma. The dependence of ER of Si-based materials on operating parameters of the flood gun and remote plasma source was evaluated. The SiO2 ER is primarily dependent on the applied EC, where a high EC generally produces a faster ER than a low EC. For more reactive poly-Si, for which spontaneous etching rates in the remote plasma environment are high, the ER is governed by both precursor chemistry and electron flux. The survey of process parameters for poly-Si showed that the peak ER was seen for 10% O2 to the remote plasma source and 0.5 mA EC. For Si3N4 which is of intermediate reactivity between SiO2 and poly-Si, the ER is governed by both electron and fluorine fluxes. At 40% O2 remote plasma composition and 0.01 ma EC, the Si3N4 ER increases from 0 nm/min to 2.5 nm/min. The behavior differences of SiO2, Si3N4, and poly-Si ER relative to process parameters used opens a large window in which selective material removal is possible, and of practical use for DUV photomask repair applications where etching selectivity of Si3N4 over SiO2 is required. Materials etching selectivity can be tailored by optimizing applied EC and relative oxygen concentration of the remote plasma gas mixture. A similar approach of optimizing the relative oxygen concentration and EC can also be used to improve the etching selectivity of poly-Si over SiO2. The results of angle-resolved XPS analysis in which the chemical composition of the reacted layers was examined for different probing depths are also reported. The experimental results show that poly- Si exhibited large changes of the characteristic elemental peaks under the influence of precursor chemistry and EB. On the other hand, for unreactive SiO2 only minor variations were seen. 148 5.5 Acknowledgments The authors gratefully acknowledge the financial support of this work by ZEISS SMT GmbH. We thank Dr. P. Luan, Dr. C. Li, Dr. A. Pranda, Y. Li, M. Hinshelwood, S.J. Chung, and P. Mercedes for helpful discussions and collaboration. The authors also thank T. Weimar, N. Ballew, and Dr. D. Schamdel from Energy Research Facility at University of Maryland for the design and fabrication of the DPU and PLA units. 149 Chapter 6: Conclusions and Future Work The investigations presented in this dissertation are relevant to future development of semiconductor processing applications. This work identified the surface evolution of FCs, HFCs, and a mixture of FCs and H2 on Si-based materials in an ALE sequence, integrated substrate- selective deposition into an ALE sequence, and, for the first time, demonstrated EBIE of SiO2, Si3N4 and Si with the assistance of Ar/CF4/O2 remote plasma. In Chapter 2, we studied the potential of utilizing complex precursor chemistries in ALE for achieving etching selectivity of SiO2 over Si and SiO2 over Si3N4. The mixing of chemical precursor approach that is often used in CW plasma for improving etching selectivity of SiO2 over Si did not succeed for ALE conditions. Instead, the C4F8/H2 mixture degraded the etching selectivity due to interaction of residual hydrogen with the functionalized surface that suppressed the formation of a passivation layer. In contrast, C3H3F3 delivered a reduced F/C ratio for deposited films and rapidly formed a passivation layer on Si. This work suggests that the structure and composition of HFC precursors in ALE offer significant advantages for achieving selective ALE. In Chapter 3, a review of the works in area-selective atomic layer deposition inspired a concept that selective ALE can be developed by leveraging substrate-selective deposition. We studied the deposition yield difference between HfO2 and Si by examining two sets of fluorocarbon plasmas, i.e., C4F8/CH4 and CHF3/CHF4, in an ALE sequence. The C4F8/CH4 mixture deposited a comparable thickness on both materials, whereas the CHF3/CH4 mixture only deposited on the Si surface. The results of XPS analysis confirmed this observation and showed that the CHF3/CH4 mixture could fluorinate HfO2 without deposition. By optimizing the 150 processing parameters, the CHF3/CH4-based ALE successfully removed a 3-nm HfO2 layer with selectivity to Si. This work demonstrates the concept of selective ALE based on substrate- selective deposition. Chapter 4 developed a new experimental configuration that combines a remote plasma source and an electron flood gun for EBIE of SiO2 with Ar/CF4/O2 precursors. We have screened the parametric dependence on the electron flood gun and remote plasma source operating conditions to measure SiO2 ER under simultaneous exposure to both sources. SiO2 etching results obtained for various ECs and electron energies of the flood gun revealed that the SiO2 ER was correlated to both electron flux and chemical reactant transport. The RF source power and CF4/O2 flow rate in the remote plasma source profoundly influenced the flux and chemistry of reactants to the reacting surface. Here, a 20% O2/CF4/Ar remote plasma produced a rich fluorine flux to the reacting surface and accelerated SiO2 ER. We also demonstrated two prototypical processing cases for concise SiO2 etching and ALE of SiO2. The spatial distribution of characteristic elements after a processing sequence has been investigated to understand the surface and underlying reactions in SiO2. Chapter 5 investigated the new experimental configuration that consists of an electron flood gun and a remote plasma source for EBIE of SiO2, Si3N4, and poly-Si with Ar/CF4/O2 precursors. Following the similar approach as used in the previous chapter, we have surveyed the processing parameters of the remote plasma and EB sources using the co-introduction process to measure SiO2, Si3N4, and poly-Si ERs. The profile of SiO2 etching results with various ECs and relative CF4/O2 flow rates show that the SiO2 ER is primarily dependent on the electron flux; in contrast, Si3N4 and poly-Si ERs are governed by both precursor chemistry and electron flux. By 151 optimizing the relative CF4/O2 flow ratio of the remote plasma source and the EC of the EB source, we exemplified that the co-introduction process can achieve etching selectivity of Si3N4 over SiO2 and poly-Si over SiO2. The characterization of characteristic elements on poly-Si, Si3N4, and SiO2 after various operating conditions has been evaluated to understand the effect of precursor chemistry and electron beam. Future Work This work researched electronic material etching using ion- or electron-activated surface reaction and product desorption. We found that a combination of remote plasma surface functionalization and electron-stimulated desorption is possibly the most promising method for semiconductor etching applications. Going to the next step, we may consider three developments. Adding a residual gas analyzer (RGA) at downstream of the reactor monitors etching products in real-time. The current characterization method uses in situ ellipsometry and XPS analysis to clarify the surface modification and etching, but the desorption pathway of etching products has limited understanding. Additionally, RGA can directly measure the flux of neutrals generated from remote plasma, clarifying a correlation between gas-phase reactants and adsorbates. Another item is to realize an ALE process by modularizing the remote plasma source that enables a pulsed injection of reactants into the reactor. An EB-enhanced ALE sequence consists of two steps: a remote plasma treatment step and sequentially an EB irradiation step. In the current experimental configuration, controlling the injection of neutrals from remote plasma is based on the source power ON and OFF, where plasma ignition is time-consuming. If the remote 152 plasma source can be retrofitted by installing an exclusive pump system and a shutter on the outlet of the remote plasma source, the injection of reactants can be managed by shutter ON and OFF without turning off plasma. A fast switch between remote plasma treatment and EB irradiation establishes cyclic processes for ALE. The last item is needed to understand the reaction pathways of etching product removal by comparing electron- and thermal-activated desorption. Miyoshi et al. presented ALE of Si3N4 in an etcher that consists of a remote plasma source and an infrared (IR) lamp.69, 70, 213-215 The HFC-based remote plasma produced the reactive neutrals that selectively modify the Si3N4 surface, forming an ammonium fluorosilicate layer (NH4)2SiF6. A sequential IR annealing step heats the sample to a temperature for enabling desorption of ammonium fluorosilicate in a self-limited manner. Repeating these two steps can achieve the desired etching amount of Si3N4 with selectivity to SiO2. Our system uses remote plasma for surface modification, but the etching step is done by EB irradiation. An early trial utilizing Ar/CH3F/O2 remote plasma with EB did not develop promising etching selectivity of Si3N4 over SiO2 in comparison with Ar/CF4/O2 remote plasma with EB. If we can understand the difference of desorption pathways between EB and IR, it will expand the knowledge of surface modification through complex precursor chemistries and promote the realization of more selective ALE applications useful for both isotropic and anisotropic pattern transfer. 153 Bibliography 1Y.-R. Luo, Handbook of bond dissociation energies in organic compounds. (CRC Press, Boca Raton, 2003). 2T. Matsuura, J. Murota, Y. Sawada, T. Ohmi, Appl Phys Lett 63, 2803 (1993). 3S. D. Athavale, D. J. Economou, Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films 13, 966 (1995). 4S. D. Athavale, D. J. Economou, J Vac Sci Technol B 14, 3702 (1996). 5D. Metzler, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, G. S. Oehrlein, J Chem Phys 146, 052801 (2017). 6D. Metzler, K. Uppireddi, R. L. Bruce, H. Miyazoe, Y. Zhu, W. Price, E. S. Sikorski, C. Li, S. U. Engelmann, E. A. Joseph, G. S. Oehrlein, J Vac Sci Technol A 34, 01b102 (2016). 7D. Metzler, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, G. S. Oehrlein, J Vac Sci Technol A 34, 01b101 (2016). 8D. Metzler, R. L. Bruce, S. Engelmann, E. A. Joseph, G. S. Oehrlein, J Vac Sci Technol A 32, 020603 (2014). 9M. Schaepkens, G. S. Oehrlein, Journal of The Electrochemical Society 148, C211 (2001). 10A. Sankaran, M. J. Kushner, Appl Phys Lett 82, 1824 (2003). 11K. Nojiri, Dry Etching Technology for Semiconductors. (Springer International Publishing, New York, 2015). 12G. S. Oehrlein, D. Metzler, C. Li, Ecs J Solid State Sc 4, N5041 (2015). 13J. Robertson, The European Physical Journal Applied Physics 28, 265 (2004). 14C. T. Carver, J. J. Plombon, P. E. Romero, S. Suri, T. A. Tronic, R. B. Turkot, Ecs J Solid State Sc 4, N5005 (2015). 15K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, R. A. Gottscho, J Vac Sci Technol A 33, 020802 (2015). 16S. U. Engelmann, R. L. Bruce, M. Nakamura, D. Metzler, S. G. Walton, E. A. Joseph, Ecs J Solid State Sc 4, N5054 (2015). 17P. Patnaik, Handbook of inorganic chemicals. (McGraw-Hill New York, 2003), vol. 529. 18J. H. Chen, W. J. Yoo, Z. Y. L. Tan, Y. Q. Wang, D. S. H. Chan, J Vac Sci Technol A 22, 1552 (2004). 19T. E. Madey, J. T. Yates, Journal of Vacuum Science and Technology 8, 525 (1971). 20A. A. Martin, M. Toth, ACS Appl Mater Interfaces 6, 18457 (2014). 21S. J. Randolph, J. D. Fowlkes, P. D. Rack, Critical Reviews in Solid State and Materials Sciences 31, 55 (2007). 22I. Utke, P. Hoffmann, J. Melngailis, J Vac Sci Technol B 26, 1197 (2008). 23M. Toth, Appl Phys a-Mater 117, 1623 (2014). 24B. Pfeiffer, Journal of Applied Physics 37, 1624 (1966). 25K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, R. A. Gottscho, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 33, 020802 (2015). 26C. Li, R. Gupta, V. Pallem, G. S. Oehrlein, J Vac Sci Technol A 34, 031306 (2016). 27H.-J. Lee, H. Motomura, K. Tachibana, Japanese Journal of Applied Physics 37, 4522 (1998). 154 28F. Gaboriau, G. Cartry, M. C. Peignon, C. Cardinaud, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 20, 1514 (2002). 29D. Metzler, C. Li, C. S. Lai, E. A. Hudson, G. S. Oehrlein, Journal of Physics D-Applied Physics 50, 254006 (2017). 30C. Li, D. Metzler, C. S. Lai, E. A. Hudson, G. S. Oehrlein, J Vac Sci Technol A 34, 041307 (2016). 31Y. Ishii, K. Okuma, T. Saldana, K. Maeda, N. Negishi, J. Manos, Japanese Journal of Applied Physics 56, 06hb07 (2017). 32W. H. Kim, D. Sung, S. Oh, J. Woo, S. Lim, H. Lee, S. F. Bent, J Vac Sci Technol A 36, 01b104 (2018). 33S. Rauf, T. Sparks, P. L. G. Ventzek, V. V. Smirnov, A. V. Stengach, K. G. Gaynullin, V. A. Pavlovsky, Journal of Applied Physics 101, 033308 (2007). 34A. Agarwal, M. J. Kushner, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 27, 37 (2009). 35T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, T. J. Dalton, Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films 17, 741 (1999). 36S. Engelmann, R. L. Bruce, T. Kwon, R. Phaneuf, G. S. Oehrlein, Y. C. Bae, C. Andes, D. Graves, D. Nest, E. A. Hudson, P. Lazzeri, E. Iacob, M. Anderle, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 25, 1353 (2007). 37M. Kawakami, D. Metzler, C. Li, G. S. Oehrlein, J Vac Sci Technol A 34, 040603 (2016). 38H. Tompkins, E. A. Irene, Handbook of ellipsometry. (William Andrew, Norwich, NY, 2005). 39S. W. Robey, G. S. Oehrlein, Surface Science 210, 429 (1989). 40T. E. F. M. Standaert, M. Schaepkens, N. R. Rueger, P. G. M. Sebel, G. S. Oehrlein, J. M. Cook, Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films 16, 239 (1998). 41M. Schaepkens, T. E. F. M. Standaert, N. R. Rueger, P. G. M. Sebel, G. S. Oehrlein, J. M. Cook, Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films 17, 26 (1999). 42J. L. Vossen, J. H. Thomas, J. S. Maa, O. R. Mesker, G. O. Fowler, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 1, 1452 (1983). 43K. Ninomiya, K. Suzuki, S. Nishimatsu, O. Okada, Journal of Applied Physics 58, 1177 (1985). 44N. Posseme, T. Chevolleau, O. Joubert, L. Vallier, P. Mangiagalli, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 21, 2432 (2003). 45M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, G. S. Oehrlein, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 26, 1978 (2008). 46C. D. Wagner, L. E. Davis, M. V. Zeller, J. A. Taylor, R. H. Raymond, L. H. Gale, Surf Interface Anal 3, 211 (1981). 47D. Humbird, D. B. Graves, Journal of Applied Physics 96, 2466 (2004). 48D. Humbird, D. B. Graves, X. F. Hua, G. S. Oehrlein, Appl Phys Lett 84, 1073 (2004). 49M. J. Kushner, Journal of Applied Physics 53, 2923 (1982). 50G. S. Oehrlein, H. L. Williams, Journal of Applied Physics 62, 662 (1987). 155 51F. H. Bell, O. Joubert, G. S. Oehrlein, Y. Zhang, D. Vender, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 12, 3095 (1994). 52T. Fukasawa, A. Nakamura, H. Shindo, Y. Horiike, Japanese Journal of Applied Physics 33, 2139 (1994). 53K. Kubota, H. Matsumoto, H. Shindo, S. Shingubara, Y. Horiike, Japanese Journal of Applied Physics 34, 2119 (1995). 54H. H. Doh, J. H. Kim, S. H. Lee, K. W. Whang, Journal of Vacuum Science & Technology a- Vacuum Surfaces and Films 14, 2827 (1996). 55G. S. Oehrlein, S. W. Robey, J. L. Lindstrom, K. K. Chan, M. A. Jaso, G. J. Scilla, J Electrochem Soc 136, 2050 (1989). 56H. Jansen, H. Gardeniers, M. Deboer, M. Elwenspoek, J. Fluitman, J Micromech Microeng 6, 14 (1996). 57J. W. Coburn, H. F. Winters, Journal of Applied Physics 50, 3189 (1979). 58H. F. Winters, J. W. Coburn, Surface Science Reports 14, 162 (1992). 59H. F. Winters, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 3, 1376 (1985). 60H. F. Winters, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 1, 469 (1983). 61J. W. Coburn, H. F. Winters, Annual Review of Materials Science 13, 91 (1983). 62S. Salahuddin, K. Ni, S. Datta, Nature Electronics 1, 442 (2018). 63K. Ishikawa, K. Karahashi, T. Ishijima, S. I. Cho, S. Elliott, D. Hausmann, D. Mocuta, A. Wilson, K. Kinoshita, Japanese Journal of Applied Physics 57, 06ja01 (2018). 64N. Otsuka, Y. Oyama, H. Kikuchi, J.-I. Nishizawa, K. Suto, Japanese Journal of Applied Physics 37, L1509 (1998). 65H. Shin, W. Zhu, V. M. Donnelly, D. J. Economou, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 30, 021306 (2012). 66S. J. Randolph, J. D. Fowlkes, P. D. Rack, Journal of Applied Physics 98, 034902 (2005). 67H. Nishino, N. Hayasaka, H. Okano, Journal of Applied Physics 74, 1345 (1993). 68Y. Lee, S. M. George, ACS Nano 9, 2061 (2015). 69K. Shinoda, M. Izawa, T. Kanekiyo, K. Ishikawa, M. Hori, Applied Physics Express 9, 106201 (2016). 70N. Miyoshi, H. Kobayashi, K. Shinoda, M. Kurihara, T. Watanabe, Y. Kouzuma, K. Yokogawa, S. Sakai, M. Izawa, Japanese Journal of Applied Physics 56, 06hb01 (2017). 71S. M. George, Y. Lee, ACS Nano 10, 4889 (2016). 72W. J. Lu, Y. Lee, J. Murdzek, J. Gertsch, A. Vardi, L. S. Kong, S. M. George, J. A. Del Alamo, in Int El Devices Meet. (2018), pp. 39.01.01. 73K. J. Kanarik, S. Tan, R. A. Gottscho, J Phys Chem Lett 9, 4814 (2018). 74A. Agarwal, M. J. Kushner, J Vac Sci Technol A 27, 37 (2009). 75R. J. Gasvoda, A. W. Van De Steeg, R. Bhowmick, E. A. Hudson, S. Agarwal, ACS Appl Mater Interfaces 9, 31067 (2017). 76K. Y. Lin, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, D. Metzler, G. S. Oehrlein, J Vac Sci Technol A 36, 040601 (2018). 77C. M. Huard, S. Sriraman, A. Paterson, M. J. Kushner, J Vac Sci Technol A 36, 06b101 (2018). 156 78N. Kuboi, T. Tatsumi, J. Komachi, S. Yamakawa, J Vac Sci Technol A 37, 051004 (2019). 79R. J. Gasvoda, Y. G. P. Verstappen, S. Wang, E. A. Hudson, S. Agarwal, J Vac Sci Technol A 37, 051003 (2019). 80K. Takahashi, K. Ono, Y. Setsuhara, J Vac Sci Technol A 23, 1691 (2005). 81R. M. Martin, J. P. Chang, J Vac Sci Technol A 27, 209 (2009). 82R. M. Martin, H. O. Blom, J. P. Chang, J Vac Sci Technol A 27, 217 (2009). 83N. Marchack, J. P. Chang, Annu Rev Chem Biomol Eng 3, 235 (2012). 84M. H?lot, T. Chevolleau, L. Vallier, O. Joubert, E. Blanquet, A. Pisch, P. Mangiagalli, T. Lill, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 24, 30 (2006). 85P. Bodart, G. Cunge, O. Joubert, T. Lill, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 30, 020602 (2012). 86A. Chen, Solid-State Electronics 125, 25 (2016). 87T. Faraz, F. Roozeboom, H. C. M. Knoops, W. M. M. Kessels, Ecs J Solid State Sc 4, N5023 (2015). 88A. Haider, P. Deminskyi, T. M. Khan, H. Eren, N. Biyikli, J Phys Chem C 120, 26393 (2016). 89P. C. Lemaire, M. King, G. N. Parsons, J Chem Phys 146, 052811 (2017). 90A. Mameli, M. J. M. Merkx, B. Karasulu, F. Roozeboom, W. Kessels, A. J. M. Mackus, ACS Nano 11, 9303 (2017). 91E. Stevens, Y. Tomczak, B. T. Chan, E. A. Sanchez, G. N. Parsons, A. Delabie, Chem Mater 30, 3223 (2018). 92M. Leskela, M. Ritala, Angew Chem Int Ed Engl 42, 5548 (2003). 93R. Chen, H. Kim, P. C. Mcintyre, D. W. Porter, S. F. Bent, Appl Phys Lett 86, 191910 (2005). 94E. Farm, M. Kemell, M. Ritala, M. Leskela, Chemical Vapor Deposition 12, 415 (2006). 95R. H. Ras, E. Sahramo, J. Malm, J. Raula, M. Karppinen, J Am Chem Soc 130, 11252 (2008). 96F. S. Minaye Hashemi, C. Prasittichai, S. F. Bent, ACS Nano 9, 8710 (2015). 97F. S. Minaye Hashemi, B. R. Birchansky, S. F. Bent, ACS Appl Mater Interfaces 8, 33264 (2016). 98F. S. M. Hashemi, S. F. Bent, Advanced Materials Interfaces 3, 1600464 (2016). 99R. G. Closser, D. S. Bergsman, L. Ruelas, F. S. M. Hashemi, S. F. Bent, J Vac Sci Technol A 35, 031509 (2017). 100M. H. Heyne, J. F. De Marneffe, A. Delabie, M. Caymax, E. C. Neyts, I. Radu, C. Huyghebaert, S. De Gendt, Nanotechnology 28, 04LT01 (2017). 101A. Delabie, M. Caymax, B. Groven, M. Heyne, K. Haesevoets, J. Meersschaut, T. Nuytten, H. Bender, T. Conard, P. Verdonck, S. Van Elshocht, S. De Gendt, M. Heyns, K. Barla, I. Radu, A. Thean, Chem Commun (Camb) 51, 15692 (2015). 102A. Mameli, B. Karasulu, M. A. Verheijen, A. J. M. Mackus, W. M. M. Kessels, F. Roozeboom, Atomic Layer Deposition Applications 13 80, 39 (2017). 103A. J. M. Mackus, in Int Sym Vlsi Technol. (Hsinchu, Taiwan, 2018), pp. 1. 104A. J. M. Mackus, M. J. M. Merkx, W. M. M. Kessels, Chem Mater 31, 2 (2019). 105M. F. J. Vos, S. N. Chopra, M. A. Verheijen, J. G. Ekerdt, S. Agarwal, W. M. M. Kessels, A. J. M. Mackus, Chem Mater 31, 3878 (2019). 157 106R. Vallat, R. Gassilloud, O. Salicio, K. El Hajjam, G. Molas, B. Pelissier, C. Vallee, J Vac Sci Technol A 37, 020918 (2019). 107S. K. Song, H. Saare, G. N. Parsons, Chem Mater 31, 4793 (2019). 108R. Vallat, R. Gassilloud, B. Eychenne, C. Vallee, J Vac Sci Technol A 35, 01b104 (2017). 109H. B. R. Lee, S. F. Bent, Nanopatterning by Area-Selective Atomic Layer Deposition. N. Pinna, M. Knez, Eds., Atomic Layer Deposition of Nanostructured Materials (Blackwell Science Publ, Oxford, 2012), pp. 193. 110A. J. Mackus, A. A. Bol, W. M. Kessels, Nanoscale 6, 10941 (2014). 111K. Ishikawa, K. Karahashi, T. Ichiki, J. P. Chang, S. M. George, W. M. M. Kessels, H. J. Lee, S. Tinck, J. H. Um, K. Kinoshita, Japanese Journal of Applied Physics 56, 06ha02 (2017). 112G. N. Parsons, J Vac Sci Technol A 37, 020911 (2019). 113D. Shamiryan, M. Baklanov, M. Claes, W. Boullart, V. Paraschiv, Chem Eng Commun 196, 1475 (2009). 114T. Sasaki, K. Matsuda, M. Omura, I. Sakai, H. Hayashi, Japanese Journal of Applied Physics 54, 06gb03 (2015). 115K. Takahashi, K. Ono, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 24, 437 (2006). 116M. Omura, K. Furumoto, K. Matsuda, T. Sasaki, I. Sakai, H. Hayashi, Plasma Sources Sci T 26, 065015 (2017). 117M. Schaepkens, I. Martini, E. A. Sanjuan, X. Li, G. S. Oehrlein, W. L. Perry, H. M. Anderson, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 19, 2946 (2001). 118D. Triyoso, R. Liu, D. Roan, M. Ramon, N. V. Edwards, R. Gregory, D. Werho, J. Kulik, G. Tam, E. Irwin, X. D. Wang, L. B. La, C. Hobbs, R. Garcia, J. Baker, B. E. White, P. Tobin, Journal of the Electrochemical Society 151, F220 (2004). 119J. A. Murdzek, S. M. George, in 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA). (Hsinchu, Taiwan, 2019), pp. 1. 120B. H. Lee, L. Kang, R. Nieh, W.-J. Qi, J. C. Lee, Appl Phys Lett 76, 1926 (2000). 121J. Chastain, J. F. Moulder, Handbook of X-ray photoelectron spectroscopy a reference book of standard spectra for identification and interpretation of XPS data. (Physical Electronics, Inc., Eden Prairie, Minn., ed. [Reprint of the 1992 version], 1995). 122D. Barreca, A. Milanov, R. A. Fischer, A. Devi, E. Tondello, Surface Science Spectra 14, 34 (2007). 123S. Agraharam, D. W. Hess, P. A. Kohl, S. a. B. Allen, Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films 17, 3265 (1999). 124N. I. Baklanova, T. M. Zima, A. I. Boronin, S. V. Kosheev, A. T. Titov, N. V. Isaeva, D. V. Graschenkov, S. S. Solntsev, Surface and Coatings Technology 201, 2313 (2006). 125N. R. Rueger, J. J. Beulens, M. Schaepkens, M. F. Doemling, J. M. Mirza, T. E. F. M. Standaert, G. S. Oehrlein, Journal of Vacuum Science & Technology a-Vacuum Surfaces and Films 15, 1881 (1997). 126C. J. Powell, A. Jablonski, W. S. M. Werner, W. Smekal, Appl Surf Sci 239, 470 (2005). 127G. Cunge, J. P. Booth, Journal of Applied Physics 85, 3952 (1999). 158 128K. Teii, M. Hori, M. Ito, T. Goto, N. Ishii, Journal of Vacuum Science & Technology a- Vacuum Surfaces and Films 18, 1 (2000). 129K. Miyata, M. Hori, T. Goto, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 14, 2343 (1996). 130T. Goto, Adv Atom Mol Opt Phy 44, 99 (2001). 131X. Li, L. Ling, X. Hua, G. S. Oehrlein, Y. Wang, H. M. Anderson, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 21, 1955 (2003). 132G. A. Hebner, Journal of Applied Physics 89, 900 (2001). 133K. Tachibana, M. Nishida, H. Harima, Y. Urano, J Phys D Appl Phys 17, 1727 (1984). 134L. E. Kline, W. D. Partlow, W. E. Bies, Journal of Applied Physics 65, 70 (1989). 135H. Kojima, H. Toyoda, H. Sugai, Appl Phys Lett 55, 1292 (1989). 136H. Sugai, H. Kojima, A. Ishida, H. Toyoda, Appl Phys Lett 56, 2616 (1990). 137S. Den, T. Kuno, M. Ito, M. Hori, T. Goto, P. O?keeffe, Y. Hayashi, Y. Sakamoto, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 15, 2880 (1997). 138J. Robertson, Surface and Coatings Technology 50, 185 (1992). 139A. Grill, Diamond and Related Materials 8, 428 (1999). 140W. Zhang, A. Tanaka, K. Wazumi, Y. Koga, Diamond and Related Materials 11, 1837 (2002). 141N. Fox-Lyon, G. S. Oehrlein, N. Ning, D. B. Graves, Journal of Applied Physics 110, 104314 (2011). 142N. Fox-Lyon, G. S. Oehrlein, V. Godyak, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 32, 030601 (2014). 143K. M. Chang, S. W. Wang, C. H. Li, J. Y. Tsai, T. H. Yeh, Jpn J Appl Phys 1 35, 6555 (1996). 144B. Kalanyan, P. C. Lemaire, S. E. Atanasov, M. J. Ritz, G. N. Parsons, Chem Mater 28, 117 (2016). 145A. A. Zinn, in The Chemistry of Metal CVD, T. T. Kodas, M. J. Hampden-Smith, Eds. (John Wiley & Sons, New York, NY, 1994), pp. 105. 146A. Rockett, The Materials Science of Semiconductors. (Springer, Boston, MA, 2008). 147T. Tatsumi, K.-I. Aketagawa, M. Hiroi, J. Sakai, Journal of Crystal Growth 120, 275 (1992). 148M. R. Goulding, Materials Science and Engineering: B 17, 47 (1993). 149J. T. Jfitch, Journal of the Electrochemical Society 141, 1046 (1994). 150P. A. Oneil, M. C. Ozturk, K. E. Violette, D. Batchelor, K. Christensen, D. M. Maher, Journal of the Electrochemical Society 144, 3309 (1997). 151V. M. Donnelly, A. Kornblit, J Vac Sci Technol A 31, 050825 (2013). 152H. Xiao, 3D IC Devices, Technologies, and Manufacturing. (SPIE, Bellingham, Washington, USA, 2016). 153N. Loubet, T. Hook, P. Montanini, C. W. Yeung, S. Kanakasabapathy, M. Guillom, T. Yamashita, J. Zhang, X. Miao, J. Wang, A. Young, R. Chao, M. Kang, Z. Liu, S. Fan, B. Hamieh, S. Sieg, Y. Mignot, W. Xu, S. C. Seo, J. Yoo, S. Mochizuki, M. Sankarapandian, O. Kwon, A. Carr, A. Greene, Y. Park, J. Frougier, R. Galatage, R. Bao, J. Shearer, R. Conti, H. Song, D. Lee, D. Kong, Y. Xu, A. Arceo, Z. Bi, P. Xu, R. Muthinti, J. Li, R. Wong, D. Brown, P. Oldiges, R. Robison, J. Arnold, N. Felix, S. Skordas, J. Gaudiello, T. Standaert, H. Jagannathan, D. Corliss, M. H. Na, A. Knorr, T. Wu, D. Gupta, S. Lian, R. Divakaruni, T. Gow, C. Labelle, S. Lee, V. Paruchuri, H. Bu, 159 M. Khare, paper presented at the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5-8 June 2017 2017. 154R. J. Gasvoda, Z. Zhang, E. A. Hudson, S. Agarwal, J Vac Sci Technol A 39, 040401 (2021). 155R. J. Gasvoda, Z. Zhang, S. Wang, E. A. Hudson, S. Agarwal, J Vac Sci Technol A 38, 050803 (2020). 156S. A. Vitale, B. A. Smith, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 21, 2205 (2003). 157K. Eriguchi, Y. Takao, K. Ono, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 29, 041303 (2011). 158E. J. C. Tinacba, M. Isobe, S. Hamaguchi, J Vac Sci Technol A 39, 042603 (2021). 159J. J. V?gh, D. Nest, D. B. Graves, R. Bruce, S. Engelmann, T. Kwon, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, Journal of Applied Physics 104, 034308 (2008). 160D. Nest, T.-Y. Chung, D. B. Graves, S. Engelmann, R. L. Bruce, F. Weilnboeck, G. S. Oehrlein, D. Wang, C. Andes, E. A. Hudson, Plasma Processes and Polymers 6, 649 (2009). 161G. S. Oehrlein, R. J. Phaneuf, D. B. Graves, J Vac Sci Technol B 29, 010801 (2011). 162R. D. Ramsier, J. T. Yates, Surface Science Reports 12, 243 (1991). 163N. Vanhove, P. Lievens, W. Vandervorst, Phys Rev B 79, 035305 (2009). 164W. F. Van Dorp, in Materials and Processes for Next Generation Lithography, A. Robinson, R. Lawson, Eds. (Elsevier Cambridge, MA, 2016), pp. 115. 165J. H. Noh, J. D. Fowlkes, R. Timilsina, M. G. Stanford, B. B. Lewis, P. D. Rack, ACS Appl Mater Interfaces 7, 4179 (2015). 166F. J. Schoenaker, R. Cordoba, R. Fernandez-Pacheco, C. Magen, O. Stephan, C. Zuriaga- Monroy, M. R. Ibarra, J. M. De Teresa, Nanotechnology 22, 265304 (2011). 167T. Bret, B. Afra, R. Becker, T. Hofmann, K. Edinger, T. Liang, P. Hoffmann, J Vac Sci Technol B 27, 2727 (2009). 168C. J. Lobo, A. Martin, M. R. Phillips, M. Toth, Nanotechnology 23, 375302 (2012). 169H. Miyazoe, I. Utke, J. Michler, K. Terashima, Appl Phys Lett 92, 043124 (2008). 170M. G. Lassiter, T. Liang, P. D. Rack, J Vac Sci Technol B 26, 963 (2008). 171M. M. Shawrav, Z. G. Gokdeniz, H. D. Wanzenboeck, P. Taus, J. K. Mika, S. Waid, E. Bertagnolli, Materials Science in Semiconductor Processing 42, 170 (2016). 172P. Roediger, M. Mijic, C. Zeiner, A. Lugstein, H. D. Wanzenboeck, E. Bertagnolli, J Vac Sci Technol B 29, 06FB03 (2011). 173P. Roediger, G. Hochleitner, E. Bertagnolli, H. D. Wanzenboeck, W. Buehler, Nanotechnology 21, 285306 (2010). 174N. Vanhove, P. Lievens, W. Vandervorst, J Vac Sci Technol B 28, 1206 (2010). 175S. Matsui, K. Mori, Appl Phys Lett 51, 1498 (1987). 176S. Matsui, H. Watanabe, Appl Phys Lett 59, 2284 (1991). 177S. M. Ivo Utke, Phillip Russell, Nanofabrication Using Focused Ion and Electron Beams. (Oxford University Press, United Kingdom, 2012). 178O. Ing?lfsson, Low-Energy Electrons: Fundamentals and Applications. (Jenny Stanford Publishing, Boca Raton, 2019). 179R. Hippler, J. Kredl, V. Vartolomei, Vacuum 83, 732 (2008). 160 180C. Li, V. Godyak, T. Hofmann, K. Edinger, G. S. Oehrlein, J Vac Sci Technol A 38, 033001 (2020). 181C. Li, T. Hofmann, K. Edinger, V. Godyak, G. S. Oehrlein, J Vac Sci Technol B 38, 032208 (2020). 182J. J. Beulens, B. E. E. Kastenmeier, P. J. Matsuo, G. S. Oehrlein, Appl Phys Lett 66, 2634 (1995). 183B. E. E. Kastenmeier, P. J. Matsuo, J. J. Beulens, G. S. Oehrlein, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 14, 2802 (1996). 184G. S. Oehrlein, P. J. Matsuo, M. F. Doemling, N. R. Rueger, B. E. E. Kastenmeier, M. Schaepkens, T. Standaert, J. J. Beulens, Plasma Sources Sci T 5, 193 (1996). 185P. J. Matsuo, B. E. E. Kastenmeier, J. J. Beulens, G. S. Oehrlein, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 15, 1801 (1997). 186J. W. John F. Watts, in An Introduction to Surface Analysis by XPS and AES. (John Wiley & Sons, West Sussex, England, 2005). 187C. J. Mogab, A. C. Adams, D. L. Flamm, Journal of Applied Physics 49, 3796 (1978). 188D. L. Flamm, V. M. Donnelly, J. A. Mucha, Journal of Applied Physics 52, 3633 (1981). 189Y. H. Lee, M. M. Chen, Journal of Applied Physics 54, 5966 (1983). 190D. Humbird, D. B. Graves, Journal of Applied Physics 96, 791 (2004). 191P. Arora, T. Nguyen, A. Chawla, S.-K. Nam, V. M. Donnelly, J Vac Sci Technol A 37, 061303 (2019). 192M. G. Lassiter, P. D. Rack, Nanotechnology 19, 455306 (2008). 193E. Bohler, J. Warneke, P. Swiderek, Chem Soc Rev 42, 9219 (2013). 194L. G. Christophorou, J. K. Olthoff, M. V. V. S. Rao, Journal of Physical and Chemical Reference Data 25, 1341 (1996). 195L. G. Christophorou, J. K. Olthoff, Journal of Physical and Chemical Reference Data 28, 967 (1999). 196L. G. Christophorou, J. K. Olthoff, Appl Surf Sci 192, 309 (2002). 197J. W. Mcconkey, C. P. Malone, P. V. Johnson, C. Winstead, V. Mckoy, I. Kanik, Physics Reports 466, 1 (2008). 198S. Muhl, A. P?rez, Thin Solid Films 579, 174 (2015). 199K. Sasaki, Y. Kawai, K. Kadota, Review of Scientific Instruments 70, 76 (1999). 200N. S. J. Braithwaite, Plasma Sources Sci T 9, 517 (2000). 201H. Singh, J. W. Coburn, D. B. Graves, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 19, 718 (2001). 202I. Chun, A. Efremov, G. Y. Yeom, K.-H. Kwon, Thin Solid Films 579, 136 (2015). 203D. J. Oostra, A. E. De Vries, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 18, 618 (1986). 204K.-Y. Lin, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, D. Metzler, G. S. Oehrlein, J Vac Sci Technol A 38, 032601 (2020). 205A. C. Adams, F. B. Alexander, C. D. Capio, T. E. Smith, Journal of The Electrochemical Society 128, 1545 (1981). 206D. Metzler, F. Weilnboeck, S. Engelmann, R. L. Bruce, G. S. Oehrlein, J Vac Sci Technol B 34, 041604 (2016). 161 207G. S. Oehrlein, J. F. Rembetski, Ibm Journal of Research and Development 36, 140 (1992). 208A. Ermolieff, S. Marthon, F. Bertin, F. Pierre, J. F. Daviet, L. Peccoud, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 9, 2900 (1991). 209O. Joubert, G. Cunge, B. Pelissier, L. Vallier, M. Kogelschatz, E. Pargon, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 22, 553 (2004). 210G. Cunge, B. Pelissier, O. Joubert, R. Ramos, C. Maurice, Plasma Sources Science and Technology 14, 599 (2005). 211K. Y. Lin, C. Preischl, C. F. Hermanns, D. Rhinow, H.-M. Solowan, T. Hofmann, B. Michael, K. Edinger, G. S. Oehrlein, SiO2 Surface Evolution under CF4/O2 Remote Plasma and Co-Introduction of Remote Plasma and Electron Beam, Manuscript in preparation. 212H. Abe, M. Yoneda, N. Fujlwara, Japanese Journal of Applied Physics 47, 1435 (2008). 213K. Shinoda, N. Miyoshi, H. Kobayashi, M. Izawa, T. Saeki, K. Ishikawa, M. Hori, J Vac Sci Technol A 37, 051002 (2019). 214N. Miyoshi, K. Shinoda, H. Kobayashi, M. Kurihara, Y. Kouzuma, M. Izawa, J Vac Sci Technol A 39, 052601 (2021). 215N. Miyoshi, H. Kobayashi, K. Shinoda, M. Kurihara, K. Kawamura, Y. Kouzuma, M. Izawa, J Vac Sci Technol A 40, 012601 (2022). 162