ABSTRACT Title of Dissertation: DEVELOPMENT OF VAPOR-PHASE DEPOSITED THREE DIMENSIONAL ALL-SOLID-STATE BATTERIES Alexander J. Pearse, Doctor of Philosophy 2017 Dissertation directed by: Professor Gary W. Rubloff, Department of Materials Science and Engineering Thin film solid state batteries (SSBs) are an attractive energy storage technology due to their intrinsic safety, stability, and tailorable form factor. However, as thin film SSBs are typically fabricated only on planar substrates by line-of-sight deposition techniques (e.g. RF sputtering or evaporation), their areal energy storage capacity (< 1 mWh/cm2) and application space is highly limited. Moving to three dimensional architectures provides fundamentally new opportunities in power/energy areal density scaling, but requires a new fabrication process. In this thesis, we describe the development of the first solid state battery chemistry which is grown entirely by atomic layer deposition (ALD), a conformal, vapor-phase deposition technique. We first show the importance of full self-alignment of the active battery layers by measuring and modelling the effects of nonuniform architectures (i.e. does not reduce to a one-dimensional system) on the internal reaction current distribution. By fabricating electrochemical test structures for which generated electrochemical gradients are parallel to the surface, we directly quantify the insertion of lithium into a model cathode material (V2O5) using spatially-resolved x-ray photoelectron spectroscopy (XPS). Using this new technique, we show that poorly electrically contacted high aspect ratio structures show highly nonuniform reaction current distributions, which we describe using an analytical mathematical model incorporating nonlinear Tafel kinetics. A finite-element model incorporating the effects of Li-doping on the local electrical conductivity of V2O5, which was found to be important in describing the observed distributions, is also described. Next, we describe the development of a novel solid state electrolyte, lithium polyphosphazene (LPZ), grown by ALD. We explore the thermal ALD reaction between lithium tert-butoxide and diethyl phosphoramidate, which exhibits self- limiting half-reactions and a growth rate of 0.09 nm/cycle at 300C. The resulting films are primarily characterized by in-situ XPS, AFM, cyclic voltammetry, and impedance spectroscopy. The ALD reaction forms the amorphous product Li2PO2N along with residual hydrocarbon contamination, which is determined to be a promising solid electrolyte with an ionic conductivity of 6.5 × 10-7 S/cm at 35C and wide electrochemical stability window of 0-5.3 V vs. Li/Li+. The ALD LPZ is integrated into a variety of solid state batteries to test its compatibility with common electrode materials, including LiCoO2 and LiV2O5, as well as flexible substrates. We demonstrate solid state batteries with extraordinarily thin (< 40 nm) solid state electrolytes, mitigating the moderate ionic conductivity. Finally, we describe the successful integration of the ALD LPZ into the first all-ALD solid state battery stack, which is conformally deposited onto 3D micromachined silicon substrates and is fabricated entirely at or below 250C. The battery includes ALD current collectors (Ru and TiN), an electrochemically formed LiV2O5 cathode, and a novel ALD tin nitride conversion-type anode. The full cell exhibits a reversible capacity of ~35 μAh cm-2 μmLVO -1 with an average discharge voltage of ~2V. We also describe a novel fabrication process for forming all-ALD battery cells, which is challenging due to ALD’s incompatibility with conventional lithography. By growing the batteries into 3D arrays of varying aspect ratios, we demonstrate upscaling the areal capacity of the battery by approximately one order of magnitude while simultaneously improving the rate performance and round-trip efficiency. DEVELOPMENT OF VAPOR-PHASE DEPOSITED THREE DIMENSIONAL ALL-SOLID-STATE BATTERIES by Alexander J. Pearse Dissertation submitted to the Faculty of the Graduate School of the University of Maryland, College Park, in partial fulfillment of the requirements for the degree of Doctor of Philosophy 2017 Advisory Committee: Professor Gary W. Rubloff, Chair Professor Sang Bok Lee Professor Liangbing Hu Professor Raymond Adomaitis Professor Ichiro Takeuchi © Copyright by Alexander J. Pearse 2017 ii Dedication To Mom and Dad, for your infinite patience and love iii Acknowledgements This work is the fruit of many contributors. In the course of developing this thesis, I had immense support from a wonderful network of co-workers, friends, and family. First and foremost, I would like to thank my adviser, Professor Gary Rubloff, for being the best boss I may ever have. His utter dedication to the wellbeing of his students is rare and precious, and the scientifically open, friendly, productive, and jovial atmosphere he created in his research group enabled my success. His ability to balance the responsibilities of running an entire Energy Frontier Research Center, as well as a research group, is an inspiration. I have had the pleasure of working with many wonderful labmates, who repeatedly endured even my most pernicious negativity when my ALD process mysteriously failed for the nth time. I learned an enormous amount from them. Keith Gregorczyck kept me grounded and kept things running smoothly, and was always willing to help. I learned the ropes of vacuum science with Alex Kozen, Chuan-Fu Lin, and Chanyuan Liu, all of whom were fantastic. I also would not have survived without the wonderful discussion, coffee breaks, and falafel adventures undertaken with Marshall Schroeder and Malachi Noked, who I’m proud to call my friends. I was incredibly lucky to work with a very talented undergrad, Tom Schmitt, who contributed substantially to this work. I also enjoyed many conversations with David Stewart in our relatively short time working together. I would also like to thank many other scientific staff members at UMD who contributed enormously to my scientific training. Dr. Karen Gaskell taught me everything I could ever want to know about XPS. The Fablab staff, including John Abrahams, John Hummel, Tom Loughran, and Mark Lecates, were all also a vital part of my success, and would always brighten my day (and weren’t too mad, even when I managed to shut down an entire tool by hitting the wrong button… with my head). I will also miss the Lee group and the Hu group, with whom I worked frequently. Working with my many wonderful collaborators as part of the Nanostructures for Electrical Energy Storage Energy Frontier Research Center afforded me many wonderful opportunities and allowed this project to be as successful as it was. In particular, we could not have succeeded without the assistance of Alec Talin and his group at Sandia National Labs. This work is also dedicated to the many wonderful friends I made while working at UMD. Fun times fossil hunting, beer tasting, and PUBG’ing (this one nearly derailed the whole thesis at the end there) with Tom Hayes, Adam Jolley, Sam Gerth, Andrew Lawson, and others always gave me something to look forward to on the weekends. Finally, and most importantly, I give my endless and wholehearted thanks to my loved ones. This work is especially dedicated to my mother, Janice Pearse. While she did not get to see its completion, she always knew it would get done, even when I was sure it was impossible. I know she would be just beaming to see how I’m doing now. She taught me to see the good in all things, and I will try to live up to her iv wonderful legacy. My dad, David Pearse, kindled my love for science in the first place, bringing me to his lab, where I felt very much at home even as an elementary schooler. His genes deserve a lot of credit for this thesis! Sorry for breaking that mercury thermometer and making a big mess, by the way. Finally, I dedicate this work to my partner, Monika Davies. Ever paper I wrote, every experiment I completed, and every presentation I gave- she was there cheering me on even while learning whole new subjects just for fun on her own time. She consoled me when things weren’t going well, and motivated me to reach new heights when they were. She was and is a coach, friend, inspiration, teammate, beacon of giggles, and eater of my fries. She inspires me to be a better version of myself every single day. If only the world could harness whatever energy source keeps her going- we’d be set! v Table of Contents Dedication ii Table of Contents v List of Figures Error! Bookmark not defined. List of Illustrations Error! Bookmark not defined. List of Abbreviations Error! Bookmark not defined. Chapter I: Solid State Lithium Energy Storage: Applications and Architectures 1 1.1 Lithium-Based Electrochemical Energy Storage 1 1.1.1 The Energy Storage Landscape 1 1.1.2 Principles and components of a lithium-based battery 3 1.2 Solid State Batteries 8 1.2.1 Advantages of the solid state 8 1.2.2 Thin film solid state batteries 10 1.3.1 Benefits of a 3D Architecture 12 1.3.2 Methods of fabrication 15 1.3.3 Ideal vs. Nonideal Architectures 17 1.4 Scope and Contributions of the Thesis 20 Chapter II: Experimental Methods and Characterization Techniques 23 2.1 Atomic Layer Deposition 23 2.1.1 Chemical principles 23 2.1.2 Applications of ALD for Lithium-Based Batteries 27 2.1.3 Challenging ALD-grown components of TSSBs: Cathodes and Electrolytes 28 2.2 X-ray Photoelectron Spectroscopy 29 2.3 ANSLab: The Laboratory 32 Chapter III: Mapping the Reaction Current Distribution in High Aspect Ratio Electrochemical Nanostructures 35 3.1 Chapter Summary 35 3.2 Concept and Previous Experiments 36 3.3 Experimental Design 39 3.3.1 A Spectroscopy-Compatible Test Structure 39 3.3.2 Quantifying the SOC with XPS 42 3.4 Experimental Results 46 3.4.1 Current-Dependent RCD with a Fixed Potential Limit 46 3.4.2 Current-Dependent RCD with a Fixed Total Capacity 49 vi 3.4.3 Quantification of Total Charge with XPS 51 3.5 Discussion and Analysis 52 3.5.1 Analytical Model for the RCD in One-Dimensional Structures 53 3.5.2 A Finite Element Model Incorporating Electrolyte Transport and Dynamic Electronic Conductivity 59 3.4 Chapter Conclusions 65 Chapter IV: Development of an ALD-grown Lithium Polyphosphazene Solid State Electrolyte 68 4.1 Chapter Summary 68 4.2 Introduction 69 4.2.1 Conformal Solid State Electrolytes 69 4.2.2 Previous Collaborative Work on ALD SSE Development 73 4.3 The LiOtBu and DEPA ALD Process 74 4.3.1 Process Parameters 74 4.3.2 LPZ Film Characterization: XPS, AFM, and XRD 78 4.3.3 Proposed Reaction Mechanism 83 4.3.3 Conformality in 3D Structures 86 4.4 Transport Properties and Electrochemical Stability of ALD LPZ 88 4.4.1 Electronic and Ionic Conductivity 88 4.4.2 Electrochemical Stability 93 4.5 Integration of ALD LPZ in Planar Full Cells 95 4.5.1 LiCoO2/LPZ/Si 95 4.5.2 Demonstration of growth on a flexible substrate 102 4.6 Chapter Conclusions 104 Chapter V: Fabrication of an all-ALD, Three-Dimensional Solid State Battery 107 5.1 Chapter Introduction 107 5.1.1. Summary of Results 107 5.1.2 Conceptual Overview 108 5.2 Materials Development and Selection 111 5.2.1 Cathode Deposition and Prelithiation 112 5.2.2 A New ALD Anode: Tin Oxynitride 113 5.2.3 Current Collectors 116 5.3 Solid-State Half Cell Characterization 118 5.4 Simulation of Planar vs. 3D Performance Scaling 122 5.5 All-ALD Full Cells: Electrochemistry and 3D Structuring 125 5.5.1 Fabrication Strategy 125 5.5.2 Electrochemistry of the LiV2O5-LPZ-SnNx Cell 132 5.5.3 Interface Chemistry of the LiV2O5-LPZ-SnNx Cell 137 5.5.4 Integration and Performance Enhancement in 3D Cells 141 5.5 Discussion: Prospects for Architecture Scaling 146 5.6 Chapter Conclusions 150 vii Chapter VI: Conclusions and Future Work 153 6.1 Conclusions 153 6.2 Future Work 155 Appendix A: Finite-Element Electrochemical Models 158 Model 1: High Aspect Ratio Nanostructure in a Liquid Electrolyte 159 Model 2: A 1D Thin Film Solid State Battery 164 Appendix B: Experimental Details 171 ALD LPZ 171 ALD Growth 171 In-situ Ellipsometry 172 XPS Analysis 172 Microscopy and Characterization 173 Device Fabrication 174 Electrochemical Characterization 175 3D Battery Fabrication 175 Device Fabrication 175 Active Layer Formation 176 Bibliography 178 List of Related Publications 201 1 Chapter I: Solid State Lithium Energy Storage: Applications and Architectures 1.1 Lithium-Based Electrochemical Energy Storage 1.1.1 The Energy Storage Landscape This thesis describes the fabrication of a novel type of lithium-ion battery. The lithium-ion battery, first developed in a commercial capacity in 1991, is a wildly successful technology which has enabled the widespread adoption of multifunctional and portable electronic devices, and seems poised to enable a shift to all-electric vehicles.[1] A battery has two jobs: first, it must store energy. This is accomplished through the conversion of electrical potential energy into chemical potential energy, and the amount of energy stored is simply proportional to the molar storage of Li in the electrode materials, the intrinsic voltage of the electrochemical couple, and the volume of the cell. Second, a battery must delivery that energy to a load with a particular rate. The rate, or power, output capability of a battery is strongly governed by the architectural design and internal conductivity of the cell, in addition to the volume. Lithium-ion in its current incarnation (composite intercalation electrodes in an aprotic liquid electrolyte) is a close-to- mature technology with well-established downsides and limitations in terms of both energy stored and power delivered, which has spurred an eruption of research in both the applied and pure sciences to find alternatives and develop platforms for better understanding the complex 2 physicochemical process occurring in electrochemical cells. Storing large amounts of potential energy in a small space (now approaching 800 Wh/L for state-of-the-art cells)[2] is always a recipe for trouble, but lithium ion cells are especially problematic because the liquid electrolyte, made up of organic carbonates, is highly flammable. As they can evolve gas and burst upon overcharge, a considerable amount of cost and weight goes into sophisticated control circuitry in order to keep the cells safe. Composite electrodes are also intrinsically limited in output at high power, as the dense stochastic packing of electrode particles needed to achieve high energy density leads to extensive concentration polarization, high resistance, and heating in the pore-bound electrolyte.[3] As many cutting edge battery applications require significant pulse power capabilities, e.g. electric vehicles or remote autonomous sensors, this is an important problem to solve.[4], [5] In addition, the method by which lithium-ion cells are manufactured makes them challenging to miniaturize or fit to custom form-factors. Conventional Li-ion electrodes are slurry-cast on sheets of current collectors, cut to size, then formed into either pouches or rolled cylindrical cells before being injection-filled with the liquid electrolyte. This process is difficult to scale down to the millimeter and smaller size regime for straightforward reasons- it requires specialized equipment to automate the manufacture of very small yet complicated objects. [4] Materials tolerance are even stricter if the power source needs to survive solder-reflow temperatures for on-chip integration, which rules out liquid or polymer electrolytes. [6] Because of these limitations, there is currently intense interest in new methods of fabricating lithium-based electrochemical cells that could lead to improved batteries 3 for some (though not all) applications: (1) the replacement of the liquid electrolyte with an electrochemically more stable, intrinsically safe inorganic solid electrolyte, (2) developing more precise control over the ionic and electronic transport networks within batteries in order to fully optimize their performance at high power, and (3) developing methods of manufacturing high performance batteries at small sizes for integration with the menagerie of small, multifunctional wearable electronics, distributed autonomous sensor systems, or implantable medical devices either now available or coming online in the near future.[7], [8] The following work will develop techniques combining all three approaches, culminating in a architecture-optimized lithium-based solid state battery compatible with microelectronics fabrication on a broad variety of substrates. 1.1.2 Principles and components of a lithium-based battery Batteries store energy by moving an electron from a material in which it has a low electrochemical potential, , also known as the anode, into a material in which it has a high electrochemical potential , known as the cathode. [1], [9] The addition or removal of an electron is charge-balanced by the concurrent insertion or removal of a positive ion. There can be some ambiguity about the terms anode and cathode depending on the direction of current, but in this work, we consistently define the cathode to be the side with a higher equilibrium electrochemical potential. Lithium is most commonly used as the carrier ion in high-performance cells thanks to its small ionic size, which promotes mobility, and its low standard reduction potential of -3.04 V, which allows the fabrication of high-voltage cells. To illustrate the basic principles, Figure 1.1 shows a rechargeable lithium-ion cell based on LiCoO2 and Si as the cathode and anode, respectively. A cell of this chemistry is characterized in Chapter 4 of this 4 thesis. The equations are simplified in such a way as to capture the essential aspects of the transport and chemistry. A lithium-ion cell has five parts: a cathode, and anode, an electrolyte, and two current collectors, which are the conductive supports for the anode and cathode (usually metals). In a charging LiCoO2-Si cell, the reactions at the electrodes are approximately as follows: LiCoO − Li − e  LiCoO Si + Li + e  LiSi During this process, an electron is removed from a Co 3d state at the Fermi level of LiCoO , driven through an external circuit by an applied charging potential, and inserted into a hybridized Li 2s/Si 3p state as Li alloys with Si in the anode. [10]The potential required to run this reaction is the cell voltage, which is the difference in chemical potential of the electron levels in the cathode and anode  −  (in reality, the correct cell voltage must be found through the expression  = − ∆" where " is 5 the Gibbs free energy of the overall reaction and is Faraday’s constant, which fully accounts for the effects of Li ion insertion along with moving an electron from one level to another). The removal of an electron from LiCoO requires the removal of a lithium ion in order to maintain charge neutrality, which can then diffuse through the electrolyte before reaching the anode and becoming incorporated. During discharge, which is a spontaneous process as  # , the above reactions are reversed. Experimentally, this system provides a voltage of 3.7V. This is very close to the average voltage of a LiCoO2 cell utilizing a Li metal anode (3.9V), due to the fact that Li alloyed with Si is not strongly ionized. The role of the electrolyte is to provide a conductive path for ions but not electrons, which ensures that electronic current only flows through an external load. In Figure 1.1: Schematic of a lithium ion battery, including the internal structure of the cell, cartoon representations of the energy levels within the materials, and the primary transport processes for Li inside the cell. 6 conventional lithium-ion batteries, the electrolyte is a lithium salt dissolved in a liquid aprotic solvent (typically LiPF6 in a mixture of ethylene and dimethyl carbonates). Most liquid electrolytes are not thermodynamically stable against reduction and oxidation on the electrode in high-voltage cells and spontaneously form a passivating surface film of decomposition products, termed the solid-electrolyte interphase (SEI), whose formation must be carefully controlled. [11] While liquid electrolytes have large conductivities on the order of mS/cm, they are also extremely flammable, which leads to spectacular and dangerous exothermic failures if the cell overheats or internally short-circuits. An alternative is to use a lithium-conducting crystalline or glass solid state material, which solves these safety problems, discussed further below. The amount of energy stored in the cell is simply determined by the number of Li ions which can be incorporated in the electrode materials and the cell potential, i.e. $%&& ∝ ()*+,-. LiCoO2 can reversibly store 140 mAh/g, and Si can store 3579 mAh/g. [6] Optimizing cell energy density thus involves developing materials (particulary on the cathode side) with higher intrinsic capacities and reducing the mass or volume of inactive cell components, such as the current collectors and electrolyte. [12] However, optimizing the power density is more complicated, as we are now considering a nonequilibrium state. The rate at which energy can be removed from a battery is determined by the set of conditions which allow the cell to remain within its “safe” or useful voltage window once the kinetic overpotentials are taken into account: ./0 =  − 1.23− 1.4− 156 7 The three processes which contribute to potential loss are the Ohmic drop 1.23, the concentration overpotential 1.4, and the charge-transfer overpotential 156. The latter quantity is primarily an intrinsic material property (see Appendix A for further discussion), but the first two are controlled by transport of Li inside the cell. As indicated in Figure 1.1, transport of Li in the electrolyte and electrodes are slightly different. In the electrolyte, Li exists as Li ions and experiences a motive force from both concentration gradients and electrostatic potentials. As a result, 789 is governed by the Nernst-Planck equation. In the electrode materials, Li ions are generally tightly coupled to their countercharge, often in the form of a polaron, and thus diffuse as neutrals following Fick’s first law.[13] The buildup of concentration gradients within the cell leads to both a simple Ohmic loss 1.23, as well as a concentration overpotential 1.4, which originates from the fact that the cell potential is determined by the Li concentration only at the electrode/electrode interface, which may not reflect the average Li concentration in the electrodes. The bottom line is that because the internal current distribution is controlled by the spatial concentration and electric fields within the cell, the achievable power output is strongly controlled by the detailed cell architecture, including the thickness of the electrodes, their spacing, the shapes of the particles, and the overall cell design. The simplest approach is to make all relevant dimensions as small as possible (i.e. nanostructured electrodes) while simultaneously increasing the internal surface area in order to reduce the local current density.[14] 8 1.2 Solid State Batteries 1.2.1 Advantages of the solid state The market risk of lithium ion battery failure is enormous, even when the failure rate is minuscule relative to the number of manufactured cells, due to the potentially catastrophic outcomes of uncontrolled battery fires when they occur in unmonitored homes, in toys, or in airplanes.[15], [16] The origin of these failures is the combustion of the inorganic liquid electrolyte, which evolves gas and bursts out of sealed cells when they short internally due to direct trauma or a manufacturing defect. Replacing the lithium-ion conducting liquid with a solid crystalline or glass material- particularly an oxide- mitigates this risk, both because of its higher thermodynamic stability with respect to gas evolution and because solid materials may be able to mechanically suppress the evolution of lithium metal dendrites, enabling the use of very high energy density lithium metal anodes.[17] When it comes to the integration of electrochemical energy storage for on-chip storage, or integration into very small devices, all-solid-state becomes almost a foregone conclusion due to challenges with applying and sealing a liquid electrolyte. The development of solid state batteries (SSBs) has been stymied for decades primarily by a lack of sufficiently low ionic resistance, high electronic resistance, and electrochemically stable solid lithium electrolytes.[18] The mobility of lithium ions in a fixed lattice of counterions is generally lower than it is in a liquid, which leads to conductivites at room temperature several orders of magnitude lower than liquid electrolytes. In crystalline solid electrolytes, grain boundary resistance can dominate 9 the transport properties even if the material is intrinsically highly conductive. In addition, making intimate contact with electrode particles in high aspect ratio composite electrodes is much more difficult with solid state materials, leading to high interfacial impedances in “bulk” SSBs made by conventional ceramic processing. Figure 1.2 plots the temperature dependent conductivities of a variety of solid electrolytes. Recent materials chemistry advances [19], [20] have resulted in crystalline sulfide-based materials such as Li10GeP2S12 with conductivities above 10-3 S/cm, competetive with liquid electrolytes, though these superionic materials suffer from directionally-depedent conductivity and low electrochemical stability. Electrochemical stability is a major and only recently appreciated issue for solid state electrolytes, particulary when the decomposition products are electronincally conductive. [21] On the other end of the spectrum are the conductive glasses such as lithium phosphorus oxynitride (LiPON), which have much lower ionic conductivities (~10-6 Figure 1.2: Ionic conductivities of various solid state electrolytes. From [19]. 10 S/cm) but are excellent electronic insulators, are highly electrochemically stable against both Li metal[22] and high voltage cathodes[23], and are isotropic conductors due to their amorphous structure. LiPON continues to be the workhorse electrolyte of the thin film battery world, and is an interesting material from a materials science standpoint, occupying a rich phase space of amorphous and ordered structures based around Li2O, P2O5, and N2 endpoints. [24], [25] In this thesis, we will develop a novel method for synthesizing a polymorph of LiPON with a stoichiometry near Li2PO2N using atomic layer deposition. Further information on LiPON can be found in Chapter 4, as well as in Ref. [26] and is discussed extensively in Ref. [27], [28]. 1.2.2 Thin film solid state batteries The earliest, most successful, and currently only commercialized SSBs using inorganic solid electrolytes are thin film solid state batteries (TSSBs). TSSBs are usually made using vacuum deposition technique such as thermal evaporation and radiofrequency (RF) sputtering, though nonvacuum techniques like sol-gel processing or aerosol spray deposition are sometimes employed. Forming a full cell using thin films (with thickness generally under : = 10 µm per component) solves the problem of electrode contact as the electrode-electrolyte interfaces are completely coplanar, and using thin film electrolytes allows the use of materials with a high lithium ion resistivity ;89 by reducing the actual cell resistance <89 = ;89:/> with values for : on the order of a few microns. In addition, using thin, nanostructured electrode materials allows for very high current densities to be applied to cell as the characteristic diffusion time ? ≈ : /4B (where B is the chemical diffusion constant) can be made small. Concentration polarization in the electrolyte also tends to be small due to the high concentration of 11 carriers. [20] Figure 1.3 shows a schematic representation of a typical TSSB, including an encapsulating layer, along with a focused ion cross section of a nanoscale TSSB constructed at UMD as part of this thesis. Interest in TSSBs dates back to the early 1980’s. At the time it was expected that low-current, low-voltage, and long shelf-life cells would be required to power biomedical and implantable devices. The first reported TSSB by Kanehori et al. came in 1983 using TiS2/Li3.6Sio.6Po.404/Li (cathode/electrolyte/anode)[29]. These cells were reported to have an open-circuit potential (OCV) of 2.5V and were able to be cycled 2000 times at a current density of 16 μA cm-2. This was quickly followed by Levasseur Figure 1.3: (a) Schematic cross section of a typical TSSB where the anode current collector is displaced to the side to facilitate electrical contact. The cells include a protective coating to prevent reactions with the air. From [33] (b) A focused ion beam cross section of the nanoscale solid state cells constructed as part of this work, showing the thin film layers. The dark layer contains both the electrolyte and anode, which combine for a thickness of only 70 nm. 12 et al. who used TiS2 pellets coated with a lithium borosilicate (B2O3-Li2O) electrolyte and a Li anode[30]. At currents of 10 μA cm-2 the cells were cycled 50 times with an OCV of 2.45V. Creus et al. reported a TFSSB using V2O5-TeO2/Li2S-SiS2-P2S5/Li which had an OCV of 2.8V, however they reported that the cells did not cycle well even with relatively low current densities of 2 μA cm-2[31]. State-of-the-art TFSSBs were enabled by two important discoveries. First was the high capacity cathode material LiCoO2 by Goodenough et al. [32], which is easily crystallized in a favorably-oriented thin film form when sputtered and annealed, and second, the solid-state electrolyte LiPON by Bates and Dudney [28]. With this combination, LiCoO2/LiPON/Li, several manufacturers produce commercially available solid-state cells with energy densities on the order of hundreds of μWh/cm2. This relatively low capacity has relegated TSSBs to niche applications. 1.3.1 Benefits of a 3D Architecture The primary barrier to implementation of TSSBs is that their areal energy density and power density are both limited and coupled in a detrimental manner, and surface area is at a premium in electronic devices. This is a natural consequence of the fact that they can only be successfully fabricated on planar surfaces because they are fabricated with line-of-sight deposition techniques. The only way to increase their energy density per unit area is to increase thickness of the cathode (assuming the capacity of the anode is much large and negligible). However, there is an upper limit on cathode thickness of ~10 microns before film stress leads to delamination in the case of the commonly used LiCoO2. [33] As an illustration of the problem, replacing the 1960 mAh standard battery in an iPhone 7 with a state-of-the-art commercial 13 LiCoO2/LiPON/Li TSSB as made by current fabrication techniques would require a ~1.3 m2 cell.[34] In addition, the power density of the battery does not increase by making the cathode thicker (refer to section 5.4 for a simulation of this effect). When characterized in terms of C-rate instead of areal power density, the performance of the thicker electrode TSSB will actually decrease. One of the pivotal semiconductor technologies involved in the progression of Moore’s law was the development of three-dimensionally structured circuit elements such as trench DRAM and FinFET transistors, which allowed greatly increased packing density. In 2004, Long et al. proposed [35] the same concept for solid-state microbatteries- taking advantage of the third dimension to achieve performance metrics per device footprint which would enable useful power sources for MEMS-related integrated devices. Extensive analysis showed that advances in nanoscale synthesis techniques could allow significant increases in energy density of solid-state systems without loss to power density. Notten et al proposed similar concepts in 2007 [36] and again in 2008 [37], and further emphasized the use of vapor-phase processes, due to their unrivalled conformality, to fabricate such cells, but did not produce any themselves. The inherent need for any fabrication method to be conformal required the development of materials synthesis strategies beyond the physical deposition methods (i.e. sputtering, evaporation, etc.) used in the original TFSSB reports. Attempts to use RF sputtering to construct 3D TSSBs have failed to show performance enhancement, either due to defects in the electrolyte or inhomogeneous current pathways. [38], [39] In many cases, space in the 3rd dimension is “free”, i.e. changing the thickness of a TSSB from 50 µm to 500 µm does not impede on-chip integration. This concept 14 is illustrated in Figure 1.4. The figure introduces the important concept of the “area enhancement factor” or AEF, which expresses the ratio of the active internal electrochemical surface area to the projected device footprint A. While increasing the electrode thickness alone will increase areal energy density, finding a method which increases the AEF will have multiple benefits. For example, if the total areal energy density is held fixed in the 3D structure relative to the conformal structure, the cathode thickness can be scaled down by the AEF, reducing its characteristic diffusion time and increase the cell’s rate performance. At the same time, applied areal current densities Figure 1.4: Methods of improving TSSB areal performance metrics. TSSBs can be either increased in thickness while remaining planar, or built into 3D scaffolds using new techniques. 15 will also be scaled down by the AEF internally, which reduces Ohmic overpotentials in the solid electrolyte, further increasing power performance. 1.3.2 Methods of fabrication The conformal, completely coplanar 3D architecture shown in Figure 1.4 is the ideal 3D battery structure, provided the scaffold does not completely dominate the active volume. Various computational studies have demonstrated that the power output and overall efficiency of an electrochemical cell is maximized when the geometry can be reduced to one dimension, which is to say that the anode, cathode, and electrolyte are coplanar and of an even thickness, and every point of the anode and cathode is contacted by a current collector of sufficient conductivity such that electronic Ohmic losses are negligible compared to the electrolyte resistivity.[40], [41] This rule can be quantified by saying the distance C from a point on the cathode to the nearest portion of the anode should be the same for every point on the cathode. Zadin et al. have explicitly demonstrated this by iteratively computationally optimizing an interdigitated electrode array using the level-set method; the result is a coplanar arrangement.[42] 16 Figure 1.5. Different types of three dimensional microbatteries. (a) an interdigitated array of 3D cathodes and anodes formed by electrodeposition into a template. Adapted from [43] (b)Layer-by-layer formation of a supercapacitor on an aerogel substrate. Adapted from [44] (c) A 3D microbattery formed by the infiltration of a channel plate with electrode materials. Adapted from [45] However, 3D batteries of this type have proven very challenging to fabricate. Previous examples of working, full cell 3D microbatteries (for brevity, we omit the numerous examples of 3D half cells, as these are much simpler to fabricate and avoid all issues with requiring a conformal solid state electrolyte; see Refs. [5] and [44]for examples) include a variety of synthesis techniques and topologies. Materials have been deposited via electrodeposition,[43] layer-by-layer deposition,[44] vacuum infiltration,[45] photolithography,[46] and 3D printing. Broadly speaking, attempts to date can be very loosely sorted into three categories: (1) interdigitated arrays, where the current collectors are patterned in 2D and electrode materials are selectively placed on top of them, (2) truly tricontinuous architechtures, where a 3D object is evenly coated with cathode, anode, and electrolyte, and (3) vertically aligned tubules or nanowires which are coated and/or infilled with material. Examples of each are shown 17 in Figure 1.5. No solid state batteries have yet fulfilled the requirements of the second category, as the example included here is a supercapacitor soaked in a liquid electrolyte. 1.3.3 Ideal vs. Nonideal Architectures The previous section highlighted the broad variety of architectures and deposition techniques which have been attempted as steps towards an ideal 3D microbattery (where “ideal” refers to a cell which is locally 1D and fully conformal). In this section, we will highlight several examples from the literature which clearly explicate the detrimental effects of nonideal architectures, and motivate the need for better characterization of internal current distributions. Liu et al. recently fabricated[47] a 3D microbattery using a liquid electrolyte by depositing ALD-grown vanadium oxide-based anodes and cathodes into nanopores formed by an anodic aluminum oxide nanotemplate, shown in Figure 1.6. The authors found that there was a significant difference in performance when a conformal, coaxial Ru current collector was integrated into the cell. This implied that when a nonconformal current collector was utilized, the lithium insertion current density was Figure 1.6: (left) Schematic of the ALD-grown nanobattery integrated in an anodic aluminum oxide template. (right) Plot of capacity vs. cycle number for increasing current densities showing the improved rate performance of the cell with a conformal current collector. Adapted from [47] 18 nonuniform down the length of the nanopore (though this was not experimentally confirmed), which lead to suboptimal material utilization. In particular, the capacity retention at high applied current densities improved. One of the few examples of what can be called a solid state 3D full cell, including an integrated thin-film polymer electrolyte, was described in two papers by Ergang et al. [48], [49]The cell consisted of an inverse-opal templated carbon anode, which was coated with an electrodeposited polymer electrolyte and infilled with a V2O5 ambigel cathode. The structure was sandwiched between planar Ni and Al current collectors. The 3D carbon anode was prelithiated before assembly to provide mobile Li ions in the cell. An important caveat is that the cell needed to be immersed in a liquid electrolyte to operate. The cell’s electrochemical performance did not reach expectations, and became rapidly polarized when cycled even at small currents (the dimensions of the cell are not given, but we estimate the current density to be on the order of tens of µA/cm2). The authors attribute this to the poor electronic conductivity of the V2O5 cathode, as they did not integrate a conformal current collector into the cell- similar to what was observed by Liu et al. 19 McKelvey and Talin have described attempts at making 3D all- inorganic solid state batteries using RF-sputtering and conventional TSSB materials (LiCoO2, LiPON, and a Si anode), as well as finite element simulations of the fabricated structures.[39], [50] Because of the limited conformality of RF- sputtering, these microbatteries are better described as “2.5D”, in the sense that the anode is not conformal with the cathode. This is shown in Figure 1.7. Remarkably, the 2.5D cells performed worse than their planar analogues, particularly when higher currents are applied. A finite element electrochemical simulation of the structure revealed that the reason for the poor performance was likely the distribution of inhomogeneous path lengths between the anode and cathode through the LiPON electrolyte; areas at the tops of the pillars were preferentially lithiated and delithitated, which obscured any beneficial effect from 3D structuring. To quote the authors: “Our results further confirm that structural uniformity is essential for optimum 3D SSLIB performance and that the existing PVD processes appropriate for planar geometry SSLIBs will likely have to be replaced by Figure 1.7: (a) SEM cross section of a 2.5D microbattery (b) simulation results showing the nonuniform Li concentration in the electrolyte and (c) the nonuniform potential distribution in the electrolyte. Adapted from [39] 20 alternate processes capable of uniformly coating high aspect ratio microstructures.”[39] 1.4 Scope and Contributions of the Thesis The previous sections highlight that while TSSBs, and in particular three- dimensionally structured TSSBs, exhibit many attractive properties as energy storage devices, their construction is difficult and the detailed impact of their architecture on performance is complicated and relatively unstudied. Indeed, a full 3D TSSB with the ideal architecture (in which the electrochemical stack is thin, uniform, and completely conformal while integrated on surface area-enhancing topography) has yet to be experimentally demonstrated. This thesis makes substantial contributions to both the understanding of battery electrode architecture on performance, as well as to the practical construction of an operating all-solid-state 3D TSSB, culminating in a successfully-realized full cell 3D TSSB demonstrating the expected performance enhancements. In Chapter 3, we demonstrate a technique to experimentally measure how the internal current distribution inside a rationally-designed nanoarchitecture depends on the aspect ratio of the electrodes and the nature of the electrical contact to them. We demonstrate how test structures, combined with finite-element electrochemical modelling, can reveal surprises about how a materials property (the state-of-charge dependent electronical conductivity) has dramatic effects on a cell-level current distribution. This motivated by (a) the difficulty in measuring spatially-resolved properties inside actual batteries and (b) the need to validate whether common 21 electrochemical models predict the correct spatial behavior when they are most commonly validated using only scalar quantities. While the technique we develop later (ALD deposition of TSSBs) eventually circumvents the nonidealities identified in this chapter by ensuring full conformality, the parameters in this chapter should be helpful in optimizing different types of 3D battery architectures, such as those based on nanowire electrodes. In Chapter 4, we develop a new robust and effective conformal lithium solid state electrolyte in the LiPON family grown via ALD. This process is a substantial improvement over our group’s previous process, as the use of only two precursors instead of four halves processing time for the same deposited thickness and results in much improved process reliability and repeatability. The ALD process utilizes a precursor containing a pre-formed P-N bond, which allows for a more controlable route to incorporating the P-N chemistry characteristic of LiPON compared to the typical method of plasma exposure. We thoroughly characterize the electrochemical properties of the films, and demonstrate that the ideal growth characteristics of ALD allow the construction of operable full TSSBs with solid electrolytes as thin as 30 nm, which is substantially smaller than that allowed by most other techniques for constructing solid electrolytes. We demonstrate that ALD LPZ is compatible with growth on flexible substrates by constructing prototype flexible TSSBs. In Chapter 5, the electrolyte developed in Chapter 4 is integrated with a micromachined 3D substrate, an ALD cathode, a novel ALD anode, and two ALD- grown current collectors to form the first operating solid state battery grown entirely with gas phase deposition. A substantial contribution comes from the method of 22 fabrication, which is challenging due to how the highly conformal nature of ALD naturally circumvents many standard microfabrication strategies. We also present a simple method of integrating free lithium ions into the battery with an electrochemical prelithiation step. We conclusively demonstrate the simultaneous enhancement of areal energy and power density, finally revealing the promise of full-cell 3D TSSBs for demanding applications in microelectronics. 23 Chapter II: Experimental Methods and Characterization Techniques In this chapter, we will outline and discuss the basic principles behind the core techniques and equipment upon which the work in this thesis is heavily based. 2.1 Atomic Layer Deposition 2.1.1 Chemical principles Of the methods for conformal deposition discussed in the previous chapter, atomic layer deposition is arguably the most powerful. ALD is a subset of chemical vapor deposition (CVD), in which thin films are formed via chemical reactions between film-constituent bearing vapor-phase precursors. This follows the general form: >:DE.F + G: DE.F → >GI/FJ + :: DE.F where A and B are components of the desired film, and : represents some kind of ligand to allow for vaporization and transport or selective reactivity. The reaction results in the formation of the compound AB on the surface and the release of the ligands, often in the form of a new volatile compound. The canonical example is the deposition of alumina using trimethylaluminum (TMA) and water: 2AlNCHPQP + 3H O → Al OP + 6CHT 24 In most cases, the reaction conditions are tuned so that the rate of reaction on the substrate is much higher than in the gas phase, either through temperature or pressure control. CVD-type deposition processes generally have a greater possibility for conformality in comparison with PVD because vapor-phase species have the opportunity to diffuse throughout high aspect ratio structures before forming a reaction product. However, in CVD processes, the local rate of deposition is controlled by the local delivery rate of the precursors. This leads to more difficult process control, as the conformality of the process depends very sensitively on the flow dynamics and operating parameters of the particular reactor.[51] ALD refines this process by separating the overall reaction into two half cycles. Precursors are sequentially exposed to the substrate with a purge step in between. Rather than reacting directly with each other, at least one of the precursors reacts first with the surface to form a self-limiting monolayer. In the case of alumina (assuming continued growth on an alumina surface), the half reactions are proposed to be approximately:[52], [53] Al − OHI/FJ + AlNCHPQP → Al − O − AlNCHPQ I/FJ + CHT AlNCHPQ I/FJ + H O → Al − OHI/FJ + 2CHT The Lewis acid-base adduct Al − O − AlNCHPQ I/FJ , which forms after a ligand-exchange reaction between a hydroxyl group on the surface and a methyl ligand on the TMA, represents a passivated surface, as incoming TMA molecules experience 25 most methyl-methyl interactions and do not react further. Water exposure then oxidizes the surface species and regenerates the surface. This means that, in principle, an arbitrarily large dose of TMA results in one monolayer of growth. The growth per cycle (GPC) tend to be on the order of 1 angstrom per cycle- less than might be expected from the size of a material monolayer, as bulky precursor ligands lead to an adsorbate packing density considerably lower than the Al2O3 molecular density, for instance. Another major advantage of ALD is that the deposition temperatures are generally lower than for CVD; in a sense, the surface is acting as a catalyst for precursor decomposition in concert with the oxidant. Rate competition between precursor condensation, adduct formation, adduct desorption, and precursor decomposition leads to an ALD window, in which the GPC is mostly invariant with temperature and exposure time/dose, as illustrated in Figure 2.1. Achieving this ideal behavior depends on careful precursor design.[54] An important exception to ideality is highlighted in the left panel, which shows the existence of temperature-dependent growth rates even in the “window”. This is a common observation and may arise for a number of reasons, including the temperature- dependent configuration/orientation of adsorbed intermediate states, or a changing density of adsorption sites.[55] Such a non-ideal ALD process is described in Chapter 4 of this thesis. Complicated film-precursor interactions can also arise, particularly when multicomponent films utilizing three or more precursors are attempted. Lithium precursors, for instance, can act as strong reducing agents on metal oxides and directly incorporate lithium into the bulk of the film. [56] 26 The self-limiting nature of ALD leads to films of exceptional quality and conformality. Deposition is possible in structures with aspect ratios in the hundreds, which enables conformal coating of challenging materials such as fibers and textiles. If precursor doses reach saturation, there is also very little chance of pinholes forming in ALD-grown films, meaning fully electrically isolating dielectrics or solid electrolytes can be grown at thicknesses smaller than available to other thin film deposition techniques. [52] The limits of this effect in the context of making low- impedance TSSBs is explored in Chapter 4. The primary downside of ALD is that the process is intrinsically slow due to the sequential nature of the reaction. This is somewhat mitigated by the fact that ALD is compatible with batch processing due to its conformality, and recent advances in “spatial” ALD in which precursor exposures are separated in spatial zones, rather than in time, have resulted in deposition rates on the order of nm/s.[57] Plasma-enhanced ALD (PEALD) is another important subclass of ALD process (in contrast to “thermal” ALD) in which a plasma source generates Figure 2.1: Examples of GPC dependence on temperature and exposure time in ALD, showing ideal behavior in black and pathological behaviors in red. 27 radicals which enable unfavorable reactions to proceed, or favorable reactions to proceed at lower temperatures. However, the conformality of PEALD is more limited due to the finite lifetime of radical species during interactions with the substrate.[58] Radicals and radiation generated in PEALD can also result in interface damage, which is an important consideration when constructing batteries via ALD. 2.1.2 Applications of ALD for Lithium-Based Batteries While ALD was originally developed primarily to enable smaller and more three-dimensional devices architectures in the semiconductor industry, ALD has already seen extensive application in electrochemical energy storage. The most extensively research application for ALD (along with CVD and other conformal coating techniques) in batteries is as a passivation coating for anodes and cathodes.[59], [60] ALD coatings of metal oxides on reactive battery materials, such as high voltage cathodes[61] or even lithium metal anodes[62], have been shown to reduce decomposition reactions with the liquid electrolyte, prevent metal dissolution from the electrode materials, and provide mechanical support to materials which undergo significant volume changes during cycling.[63] In most cases, deposited metal oxides are presumed to either spontaneously react with a Li-salt containing electrolyte or undergo electrochemical transformation to form a Li-ion conducting solid electrolyte layer. ALD coating of electrode particles is currently undergoing commercialization.[64] ALD interlayers have proven pivotal even for sintered ceramic based solid state batteries as wetting promoters between lithium metal and lithium garnets. [65] 28 Atomic layer deposition of lithium-containing materials is particularly important for electrochemical applications. 2.1.3 Challenging ALD-grown components of TSSBs: Cathodes and Electrolytes There has been considerable previous development of ALD electrodes and electrolytes with the aim of constructing a 3D full cell. Growing high quality cathode materials via ALD is a particular challenge. As all ALD-based SSBs are lithium-ion cells, either the anode or cathode must include free lithium to begin with. Directly growing crystalline lithium-containing oxides of the correct phase involves developing ternary film chemistries which can deposit metal centers in low or multiple oxidation states (i.e. V4+ and V5+ in LiV2O5 or Mn3+ and Mn4+ in LiMn2O4) while maintaining ALD characteristics. It is not always clear how to achieve this, except perhaps by using multiple metal precursors with different valence states in a single process. While amorphous metal oxide materials can show reversible electrochemical behavior, they generally exhibit poor kinetics. To date, demonstrations of ALD cathode materials include LiCoO2 produced[66] by annealing a mixed CoOx and Li2O amorphous film produced by supercycles of their respective ALD processes at high temperatures, LiFePO4 produced[67] in a similar manner, and a crystalline LixMn2O4 grown[56] from Li(thd) + Mn(thd)3 + O3. The latter study indicated some bulk reduction/oxidation interactions between metal oxides and the lithium precursor, as they found excess Li in the bulk of the film. Both studies highlight the difficulty of controlling the lithium content in ternary ALD processes involving the known Li precursors (Li(thd), LiOtBu, and Li(HMDS)). In our experience (and from informal discussions with the above 29 authors), non-reproducibility is a major issue for these ternary and quaternary processes. Nonlithiated ALD cathode materials include vanadium oxides and iron phosphates.[68], [69] An alternative approach, explicated in chapter 5 of this thesis, is to insert lithium electrochemically during the fabrication process. Electrolytes are similarly difficult to grow with ALD due to the difficulty of working the lithium precursors, as mentioned above, and because the requirements for conformality and film closure are extremely stringent. Relatively few ALD solid electrolytes have been demonstrated, but they include lithium aluminate[70] (σ = 1 × 10-7 S/cm @ 573K), lithium tantalate[71] (σ = 2 × 10-8 S/cm @ 299K), and lithium phosphate[72], [73] (σ = 3 × 10-8 S/cm @ 300K), all of which have ionic conductivities on the edge of being too low at room temperature to be practical even at the small film thicknesses afforded by ALD. Recently, the quaternary solid electrolyte Li7La3Zr2O12 was grown via a complex four-supercycle process, but annealing was required in order to obtain the conductive phase, leading to dewetting of the film from the substrate. [74] Finally, a thin film sulfide electrolyte (LixAlySz) has also been demonstrated.[17] 2.2 X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is the primary characterization tol utilized in this work for exploring the materials chemistry of ALD-grown battery materials and electrochemical interfaces. XPS is a very powerful tool for measuring the composition of thin film materials, and is one of few spectroscopic techniques which 30 can quickly and strongly distinguish the chemical state of an atom (i.e. the oxidation state of a carbon atom). As XPS is also a surface sensitive technique, it is highly suited towards studying systems whose properties are dominated by interface chemistry. As battery impedance is dominated by charge transfer at heterointerfaces, XPS finds wide use in the electrochemistry community. An XPS instrument consists of an x-ray source, an ultrahigh vacuum chamber to allow a sufficiently high mean free path for electrons, and a spectrometer which can resolve the kinetic energy of electrons. Modern systems have imaging systems, usually based on delay-line detectors, which can produce real- space chemical state images. Some applications of this in batteries are reviewed in Chapter 3. Figure 2.2: Aspects of x-ray photoelectron spectroscopy. Adapted from [75] 31 Fundamentally, XPS involves photon-stimulated electron emission (photoemission). The fundamental energy conservation equation [75] for photoemission is ℎV = $W + $X94 + I/FJ + Y where ℎV is the energy of an incoming photon (for lab-scale systems, this is generally 1486.6 eV, generated by the Al Kα line), $W is the binding energy of the excited core electron, $X94 is the kinetic energy of the electron as measured by the spectrometer, I/FJ is the surface potential of the sample, and Y is the spectrometer work function. Typically I/FJ and Y are “corrected” quantities through instrument calibration and charge neutralization, and the equation becomes ℎV = $W + $X94Z and the binding energy of the collected electron is easily obtained. Core levels can only be probed if their binding energy is less than the excitation source. $W is sensitive to not only the particular atomic orbital, but also the electronic state of the atom from which it was emitted. For instance, binding energy of a C 1s electron from an alkene would be approximately 284.8 eV. However, the binding energy of a C 1s electron from an epoxy group would be closer to 286 eV. The interaction of oxygen, which removes part of the C 2p orbital, results in reduced nuclear electrostatic screening on 32 even the inner orbitals due to the finite probability density of 2p electrons very near the nucleus. Once at atom has absorbed a photon, relaxed from an excited state, and emitted an electron, that electron must travel to the surface of the material and escape in order to be measured by the spectrometer. However, most electrons will scatter inelastically with nuclei or other electons, leading to an energy distribution with a tail to low $X94Z and a Beer-Lambert type law for the intensity [ of electrons collected from a depth C: [/[. = -\/] Where ^ is the characteristic energy-dependent mean free path for electrons in a given material. As ^ is generally between 2-3 nm for solids, XPS is a highly surface sensitive technique. Elemental quantification is possible through the counting of photoelectron intensity associated with a given atomic core level, and correcting that intensity for the Hartee-Fock calculated photoexcitation cross section specific to the excitation energy and atom.[76] 2.3 ANSLab: The Laboratory The Advanced Nanostructures Laboratory (ANSLab) was still under construction when the author joined the Rubloff group in 2012. The next year involved heavy construction, testing, and qualification of equipment, including the installation and qualification of the XPS system as well as the replacement of the central transfer 33 chamber. The end result was a system uniquely capable of synthesizing and characterizing air-sensitive materials via ALD. ANSLab is centered around a custom designed, highly integrated materials synthesis and characterization vacuum system, shown in Figure 2.X. The system includes two Cambridge Nanotech F200 ALD reactors coupled to either an ultrahigh vacuum central transfer chamber (the R2P2) or an Ar-filled glovebox. Air sensitive samples could be grown in the ALD chamber and then transferred to a Kratos Ultra DLD XPS system for spectroscopic characterization. The system also include a custom lithium evaporator coupled to the glovebox for the construction of solid state half-cells. 34 Figure 2.3: Schematic and photograph of the ANSLab laboratory where the bulk of the work in this thesis took place. 35 Chapter III: Mapping the Reaction Current Distribution in High Aspect Ratio Electrochemical Nanostructures 3.1 Chapter Summary Morphologically complex electrochemical systems such as composite or nanostructured lithium ion battery electrodes exhibit spatially inhomogeneous internal current distributions, particularly when driven at high total currents, due to resistances in the electrodes and electrolyte, distributions of diffusion path lengths, and nonlinear current-voltage characteristics. Measuring and controlling these distributions is interesting from both an engineering standpoint, as nonhomogenous currents lead to lower utilization of electrode material, as well as from a fundamental standpoint, as comparisons between theory and experiment are relatively scarce. In this chapter, we describe a novel approach using a deliberately simple model battery electrode to examine the current distribution in a electrode material limited by poor electronic conductivity. We utilize quantitative, spatially resolved x-ray photoelectron spectroscopy to measure the spatial distribution of the state-of-charge of a V2O5 model electrode as a proxy measure for the current distribution on electrodes discharged at varying current densities. We show that the current at the electrode-electrolyte interface falls off with distance from the current collector, and that the current distribution is a strong function of total current. We compare the observed distributions with a simple analytical model which reproduces the dependence of the distribution on total current, but fails to predict the correct length scale. A more complete numerical simulation suggests that dynamic changes in the electronic conductivity of the V2O5 concurrent with lithium insertion may contribute to the differences between theory and 36 experiment. Our observations should help inform design criteria for future electrode architectures- in particular, they emphasize that while a fully conformal, locally 1-D battery stack is generally the optimal choice, some materials may “overperform” in non-ideal geometries if lithium insertion engenders favorable changes in intrinsic transport properties. 3.2 Concept and Previous Experiments In a typical battery electrode, energy is stored through an electrochemical reaction, occurring across the entire electrode-electrolyte interface, which requires the local presence of both an electron and a cation. The full energy density of the electrode is realized only when this reaction is entirely uniform, but material or geometric restrictions of the ability to transport both ions and electrons throughout the electrode, especially at high power, leads to a spatially varying reaction termed the reaction current distribution (RCD).[77], [78] In an intercalation-type lithium ion battery, for instance, the RCD refers to the spatial distribution of local current density associated with the reaction :_I.&D0%\ + - → :_940%F&0%\` . In electrodes limited by poor electronic conductivity, the portions of the active material adjacent to the current collector are preferentially utilized at high currents, leading to a strong gradient in the RCD and under-utilization of the electrode. Batteries as energy storage systems are characteristically limited by their declining performance at high powers, and therefore understanding, measuring, and predicting the RCD for a variety of length scales and conditions is important for designing optimized battery electrodes.[79] However, the majority of electroanalytical techniques produce scalar quantities such as cell voltage, 37 current, and impedance, which cannot fully characterize spatially distributed electrochemistry. Because of this, a limited but growing collection of research has sought to develop methods of characterizing the RCD using spatially resolved techniques. As measuring electrical currents directly necessitates invasive probes, the most common method of characterizing the RCD is through measurement of the distribution of local state-of-charge (SOC), which is the time integral of the local RCD. Existing research on mapping the RCD or SOC predominantly involves lithium ion batteries and falls into two camps- first, studies focusing on local variations in composite electrodes due to their stochastic assembly and locally variable transport properties (length scales of a nanometers to a few microns), and second, studies examining the mesoscale or cell-level behavior (length scales of microns to centimeters). In the first camp, we highlight several experiments exploring qualitative trends in the RCD using synchrotron-based XAFS, XAS, or energy dispersive XRD (EDXRD) measurements of the SOC. Katayama et al. identified local inhomogeneity[80] in the SOC of a LiFePO4-based composite electrode at a length scale of tens of microns, likely because of differences in the local electronic conductivity of the composite, and a similar phenomenon was found by Paxton et al. using EDXRD[81] and by Ouvrard et al. with XAS.[82] Nanda et al. also observed local heterogeneity of the SOC in their Raman-based examination of an NCA composite cathode.[83] Studies in the second camp are fewer in number, but are beginning to paint a fascinating picture of the internal dynamics of battery electrodes. Liu et al. identified SOC gradients on a millimeter scale in a LiFePO4 pouch cell charged at a high rate using EDXRD and found the RCD to be most concentrated near 38 the current collector tab, presumably due to the electronic resistance of the cell.[84] Using neutron diffraction, Zhang et al. observed that the RCD in a composite electrode changed dramatically as a function of total applied current, and shifted from the electrode-current collector interface to the electrode-electrolyte interface as the limiting transport process switched from electronic transport to ionic diffusion.[85] The first direct comparison between in-operando measurements of the RCD and a computational model using porous electrode theory was recently reported by Strobridge et al, though only one rate was explored.[86] We explore a different but complimentary approach, in which we develop idealized battery test structures using pure electrode materials which take advantage of the strengths of x-ray photoelectron spectroscopy, and which allow for directly quantitative characterization (i.e. we can insert a controlled number of electrons and Figure 3.1: (a) A nonuniform RCD in a one-dimensional, electrically resistive electrode structure such as a nanowire array. (b) Schematic and photograph of the model electrodes examined in this chapter . (c) The model electrode exhibits an RCD similar to what would be observed in case (a), but laterally distributed so as to be easily characterized via spectroscopy. 39 ions, and subsequently find them all). This approach avoids complications from the complex structure and competing transport effects in composite electrodes, and should in principle allow for a direct and instructive comparison between theory and experiment. In addition, an understanding of the RCD in structures of pure active materials, without binders or conductive additives, is relevant to recent work on high aspect ratio nanowire or nanotube based battery electrodes. [47] We will explore the following questions: (1) In electrode materials with poor electronic conductivity, how does the RCD vary with applied current, and how does this impact the energy density? (2) Is it possible to spatially resolve the state-of-charge in a model battery electrode with a lab-scale XPS tool, and can we make the measurement quantitative? (3) What is the fundamental origin of the observed behavior, and do the measured distributions match analytical and computational models of the device? Finally, we discuss the implications for designing 3D microbatteries. 3.3 Experimental Design 3.3.1 A Spectroscopy-Compatible Test Structure We developed a simple and geometrically well-defined system with which to test hypotheses about spatially distributed battery electrochemistry. In addition, we sought a characterization technique which could provide direct and quantitative information about the local SOC, and by proxy, the RCD. Because lithium ion intercalation in battery materials always involves charge compensation, typically through the reduction of a metal ion adjacent to the inserted lithium, a technique 40 sensitive to the valence state of metal ions such as x-ray photoelectron spectroscopy (XPS) is ideal. For a variety of low conductivity, intercalation type transition metal oxides, the following reaction takes place upon electrochemical insertion of lithium: abc + d:_ + d-940%F&09.4 :_eabc a4 + - + :_ I.&4 aN4Q :_ &009% Because XPS is highly sensitive to valence state, the local state of charge can be directly characterized through the quantification of the number of reduced metal ions in the analysis volume. In addition, modern XPS instrumentation allows for a lateral resolution of better than 10µm by utilizing electron optics and 2D detectors, which is a length scale relevant to cell-level heterogeneities in many battery types. We note that while it would also be possible to map the local concentration of Li, the signal to noise ratio is a problem at smaller spot sizes due to Li’s small cross section. In typical battery electrodes, electrochemical gradients are generated parallel to the surface normal. In light of this, we have instead developed a “lateral” battery electrode, in which the direction of relevant electrochemical gradients is perpendicular to the surface normal and easily accessible to spectroscopic techniques. In addition, the active material layer can be made extremely thin, so that XPS probes a significant fraction of its total thickness and solid state diffusion is not a limiting factor. This electrode serves as a model for any system in which electronic conductivity is the limiting transport process, such as a composite electrode with high porosity but poor connectivity, or a high aspect ratio nanostructure anchored to a current collector such as a nanotube or nanowire. The construction and general concept is illustrated in Figure 3.1. 41 We utilize crystalline thin-film V2O5 as the active material[68], which is a cathode material following the reaction scheme above. V2O5 is also a low mobility semiconductor and can be limited by its poor electronic conductivity (10-3 to 10-2 mS/cm) in electrochemical applications.[87] V2O5 can store up to 3 Li ions per unit cell in the range of approximately 3.5 to 1.6 V vs. Li/Li+, passing through multiple phase transitions, although only storage of the first Li ion is highly reversible. For the purposes of this paper, we define the state-of-charge to the value x in the formula LixV2O5. The model electrodes, shown in Figure 3.1b, are constructed using standard microfabrication techniques. The V2O5 film is 26nm thick, and the total exposed area of V2O5 is 1.5 cm2. The active material-coated portion of the electrode is immersed in a 1M LiClO4/propylene carbonate electrolyte in a beaker cell and discharged at different rates using a lithium counterelectrode. Because the substrate is electronically insulating and electrochemically inert, electrons can only be provided to the V2O5 film through the buried Au current collector, whereas Li+ ions are equally accessible at all points on the surface. This geometry leads to a RCD at the electrode-electrolyte interface, shown in Figure 3.1c, which can be characterized ex-situ through the quantification of the local SOC with XPS. 42 3.3.2 Quantifying the SOC with XPS Peak fitting of the V 2p region allows for the measurement of the number of reduced vanadium atoms, and therefore the SOC through the reaction scheme discussed above. Figure 3.2a and 3.2b illustrate the difference between the V 2p region for an as-grown V2O5 film and one discharged to 2.8V vs Li/Li+. The V 2p spectrum exhibits two peaks based on final state spin-orbit splitting with a 2:1 areal ratio between the j = 3/2 and j=1/2 components. The j = 1/2 peak exhibits exceptional broadening due to a very fast Coster-Kronig relaxation process[88], and so we use only the j=3/2 peak for analysis. The as-deposited V2O5 exhibits a sharp symmetric peak associated with V5+ along with a slight shoulder at lower binding energy, attributed to V4+ which forms at the surface due to oxygen vacancy formation upon exposure to vacuum (i.e. likely not present to the same degree before characterization). This shoulder, observed even in Figure 3.2: (a) V 2p spectrum of as-deposited V2O5, (b) V 2p spectrum of Li-intercalated V2O5, (c) example of a line scan on a discharged electrode. Data in (c) is further analyzed as the 5i0 case in Figure 4b. 43 freshly cleaved V2O5 crystals[89], is therefore a spurious but small background of V4+ which is subtracted out for quantitative analysis of electron/ion insertion. The low binding energy shoulder on the j=3/2 peak clearly grows upon intercalation of Li+. We fit the V4+ component using a constrained doublet, based on the intrinsic multiplet splitting exhibited by the V4+ ion as previously shown by first principles calculations[90] and experiment[91]. An accurate measurement of the SOC depends on a reliable deconvolution of the V 2p j=3/2 peak into contributions from V5+ and V4+. While it is almost universal in the literature to assign a single peak to the V4+ state when fitting XPS data, we find that this is not a reliable fitting scheme when the area of the V4+ component is comparable to or exceeds that of the V5+ component. A closer examination of the physics behind the excitation of the V4+ ion reveals that this component is intrinsically a multiplet due to additional splitting in the final state. The electronic configuration of the V4+ ion is [Ar]3d1, and thus possesses an unpaired electron in the valence band. This electron will then couple with the 2p core hole generated after photoexcitation, and result in additional exchange splitting in the final state. This effect, which occurs for most transition metal ions with net spin in the valence band, was explored by Gupta and Sen[90], who predicted a lineshape for V4+ which is asymmetric to the high binding energy side. A numerical simulation of the V4+ multiplet structure using CTM4XAS 5.5[92], shown in Figure 3.3, displays this feature. For our fitting, we use the simplest physically justified model for exchange splitting, which considers only the interaction between the core hole and the single 3d electron. This spin-spin interaction should produce two peaks with an intensity ratio[93] of [f = g g = 3 for h = 1/2. Our lineshape for V4+ thus contains two 44 components of the same FWHM with a fixed area ratio of 3:1 and a fixed separation of 0.7eV, based on measurements[91] of single crystal LiV2O5, which results in accurate quantification as discussed below. While we exclude more detailed physics such as the crystal field or multi-body exchange effects in the fitting, which would likely decrease [f, we note that the quantification of the V4+/V5+ ratio is quite insensitive to 2 # [f # 3. Figure 3.3: Calculated XPS spectra for V4+ and V5+ ions using CTM4XAS 5.5 and approximate broadening. This calculation illustrates the primary nonsymmetrical feature of the V4+ ion, which is the shoulder on the high binding energy side of the j=3/2 peak. 45 The SOC can then be mapped through line scans perpendicular to the current collector, revealing the time-integrated RCD as shown in Figure 3.2c. Surprisingly, the length scale of these gradients tended to be on the order of millimeters, though our instrument is capable of resolving the SOC to a resolution of better than 20 microns using an area detector and principle component analysis (an example is shown as Figure 3.4; this higher resolution data generally supported the line scans). Figure 3.4: An example of the high resolution chemical state mapping capability of modern XPS instrumentation. The map in (a) is derived from a direct photoelectron image of a portion of the test electrode shown in the schematic below the image. Each pixel represents a spectrum of the V 2p j=3/2 region in a third dimension (energy). Each pixel is then subjected to deconvolution into V5+ and V4+ components through principle component analysis of the whole image using CasaXPS. (b) and (c) show lines from the image demonstrating the SOC profile away from the current collector. This method achieves a spatial resolution for differentiating valence state of approximately 20-30 microns and could likely be improved further. 46 3.4 Experimental Results 3.4.1 Current-Dependent RCD with a Fixed Potential Limit In this section, we first illustrate the electrochemical signature of a nonuniform RCD by comparing the galvanostatic power performance of a thin film V2O5 electrode fabricated with a nonconformal strip current collector (NCC) and an electrode with a fully conformal planar current collector (PCC) discharged at a fixed total current within a fixed potential window, which is the most common method for testing battery electrodes. Because of the device symmetry and the high conductivity of gold, the PCC electrode should in principle have a uniform RCD and be limited only by the kinetics of lithium insertion into the V2O5 itself. We then map the SOC using ex-situ XPS to understand its connection to the performance of the test electrodes. 47 Figure 3.5a shows the discharge capacity of the electrodes at varying applied total currents. All currents throughout this chapter are normalized to i0 = 1.5 µA/cm2, which corresponds approximately to a 1C rate for 1 Li+ inserted per unit cell of V2O5, estimated from the volume of active material assuming a homogenous current density across the surface of the V2O5. We refer to “total currents”, instead of current densities, as in many cases the current density is highly inhomogeneous. The discharge is terminated at 2.8V vs Li/Li+, corresponding to one Li+ ion per unit cell, and a theoretical maximum SOC of 1. The rate dependent capacity of the two devices differs dramatically. The PCC electrode exhibits typical behavior for a high-performance cathode material in that the capacity is saturated below a critical current density (i0 in this case) as the whole volume of active material is utilized, but declines at higher rates due to vertical concentration polarization in the electrode and electrolyte. The decline is approximately linear vs. the logarithm of applied current. The NCC electrode Figure 3.5: (a) The current-dependent normalized discharge capacity of PCC (green) and NCC test electrodes (red) discharged to 2.8V vs. Li/Li+ in a beaker cell. All currents are normalized to i0 = 1.5 µA/cm2, which corresponds approximately to a 1C rate estimated from the volume of active material. Plotted in gray is the PCC capacity multiplied by a factor of 1/20. (b) XPS line scans of the SOC at the current densities marked by asterisks in Fig. 3a- i0/10 (blue), i0/2 (red), and i0 (black). The hatched area indicates points directly above the current collector. We assume a potential error of 10% coming from the fitting procedure. 48 exhibits very different and somewhat complementary behavior. Above a current density of 10i0, the capacity is saturated at a small value close to 1/20th of the capacity of the PCC electrode at the same applied current. Because the area of the buried strip current collector is 1/20th of the area of the active material, this indicates that only the area of V2O5 directly above the current collector is active at high powers. Below 10 i0, the NCC capacity increases approximately linearly with the logarithm of applied current, and approaches but does not reach the PCC capacity even at the lowest tested applied current. A further understanding of this effect relies on a spatially resolved measurement. Figure 3.5b shows spatially resolved SOC line scans, using an XPS spot size of 150 microns, for the first discharge of patterned electrode devices at three different current densities (denoted by asterisks in Figure 3.5a). As mentioned above, the SOC is the time integral of the RCD at a given location on the electrode, and under the assumption that the RCD is relatively constant in time, the SOC distribution is an approximate representation of the RCD during discharge. At a current density of i0/10, the RCD is almost uniform across the entire electrode, though a slight downward slope can still be observed and the theoretical capacity is not reached. As the applied current increases, the RCD contracts in terms of spatial extent, which explains the rapid drop in capacity in this range of currents. The SOC directly above the current collector (x=0) also decreases, which is interesting given that the capacity of the PCC device was not declining in this range of currents. This is likely because the contracted RCD forces the current density directly above the current collector to far exceed its nominal value, 49 which enhances kinetic overpotentials in the “vertical” direction and limits performance even further. The rate dependent discharge capacity (in a potential limited mode) of the NCC electrode can thus be understood as a transition between two limiting cases: a “slow” case, in which the applied current density is sufficiently low such that the RCD is homogenous, and a “fast” case, in which only regions immediately adjacent to the current collector are active presumably due to overwhelming Ohmic potential drops elsewhere. A primary factor controlling the rate-dependent capacity in conductivity limited high aspect ratio electrodes is therefore a contraction of the RCD. 3.4.2 Current-Dependent RCD with a Fixed Total Capacity We removed the potential limitation in the previous tests to achieve stronger gradients near the current collector. Figure 3.6a shows the potential-time curves for Figure 3.6: (a) Discharge curves of four electrodes discharged to 200nAh (0.72 mC) capacity at different applied total currents. The discharges are displayed as a function of the normalized time as each discharged took an absolute time according to the relation [ ∗ k = 0.72,o. i0 refers to a nominal value of 1.5 µA/cm2. (b) XPS maps of the same chips after discharge using a spot size of 150 microns. We assume an error of 10% coming from the fitting procedure. The hatched region between 0 and 0.25 mm represents the area above the gold current collector. The two data points marked as “V3+ cont.” contain a contribution of V3+ according to the XPS fitting model, which is double counted as V4+ for the purposes of display. (b, inset) Quantification of the charged inserted as measured by XPS. The horizontal black line indicates the potentiostat-measured value. 50 four devices discharged at total currents ranging from i0/5 to 10 i0. In each case, the total quantity of electrons and compensating Li ions inserted is equal to 200 nAhr (0.72 mC). It is immediately obvious that the electrode undergoes very different electrochemical processes to reach the fixed capacity at different rates, and indeed must access further phase transitions as the total applied current increases. For the two smallest currents, the electrode is able to reach the set capacity while remaining in the first plateau for lithiating V2O5, although the total cell overpotential increases. At higher currents, the electrode potential drops to the second and eventually third plateaus associated with lithium insertion (associated with the formation of Li2V2O5-type and Li3V2O5-type phases, respectively).[68] To learn more about this process, we again turn to mapping the SOC after the end of each discharge using XPS. In Figure 3.6b, we observe variations in the RCD similar to what was seen in Figure 3.5b. Again, we confirm that the RCD eventually falls off with distance from the current collector. More significantly, the shape and spatial extent of the RCD strongly depends on the total current. The SOC measured from points directly on top of the current collector (the hatched region) illustrates the origin of the measured potentials vs. Li/Li+ during the discharge. For i0/5 and i0, the SOC does not exceed 1 anywhere in the electrode, consistent with the fact that the discharge remained within the first phase transition for V2O5. At higher currents, the great majority of reaction current is localized directly above the current collector due to the highly spatially restricted RCD. This small region is therefore forced to receive the bulk of the inserted 0.72mC, is driven to much lower potentials vs. Li/Li+, and the SOC exceeds 1. The i0/5 case exhibits some structure, with a region of roughly constant SOC out to 2.25mm 51 from the centerline, followed by a linear decline, whereas the other cases exhibit a more monotonic if nonlinear decline of SOC. The slight increase in the SOC with distance from the current collector seen in the two low current cases (as well as in Figure 3.5b) is wholly unexpected. In addition, the SOC measured directly above the current collector in the 5i0 and 10i0 cases is unexpectedly low, as both would be expected to show a significantly higher SOC based on the discharge curve. Such a difference may reflect a change in the sample between discharge and XPS profiling. This is not due to relaxation of the concentration profiles through solid state diffusion of the electrodes once removed and dried for characterization, which was confirmed by re-measuring the 10i0 sample again after 24 hours and finding the same profile (not shown). However, some electrochemical self-discharge[94] of the devices, discussed in Section 4.2, in the brief time between the end of discharge and the removal of electrolyte from the surface may play a role. 3.4.3 Quantification of Total Charge with XPS These data also provide us an opportunity to connect a spatially resolved spectroscopic measurement, often not considered very quantitative, with a precise electrical measurement. We can quantify the amount of charge inserted into the film from the XPS measurements with the assumption that every electron inserted results in an observable reduced vanadium ion. Discharge results in an XPS-measured distribution >N , q, d, kQ = T NT + r Q⁄ of the SOC, in which x is the dimension perpendicular to the current collector, y is the direction parallel, and z is the out-of- plane direction. The number of electrons inserted electrochemically can be found by integrating this distribution over the surface of the sample in the following manner: 52 t%&% = 4*Ct ;u vru vr w N>N , k`Q − o`Q x/ ` C where * is the length of the electrode, C is the film thickness (26nm), ;u vr is the density of V2O5, u vr is the molar mass of V2O5, o` is a background initial concentration of V4+ (taken to be the average of >N , k`Q between 5.5 and 6.5mm, where the curves become flat), and y is the limit of integration in the x direction, taken to be the edge of the chip. Any contribution from V3+ is double counted as V4+ for the purposes of this calculation. The values calculated in this manner (approximating the integral as a Riemann sum) are plotted in the Figure 3.6b inset, along with a line corresponding to the actual charge inserted (200 nAh). The overall agreement is satisfactory, with the two highest current cases matching nearly exactly, and the two lower current cases exceeding the potentiostat-measured value by approximately 20%. The origin of the error is not completely known, but we find that virtually all tested devices agree to within 20% of the true value. The lower current devices spend more time immersed in the electrolyte, so there may be a parasitic chemical surface reaction contributing to this error. This calculation, which we believe to be the first correlation between a 2-D chemical state distribution measured by XPS and an externally measured reference quantity, proves that chemical state mapping can be a quantitative tool. 3.5 Discussion and Analysis The mapping data reveals that the primary cause of the performance fall-off of the NCC test electrode is a contraction of the RCD. This effect is doubly deleterious, as it both decreases spatial utilization and increases the current density near the current 53 collector, which enhances kinetic overpotentials. The RCD itself has two primary characteristics: (1) It declines as a function of distance from the current collector and (2) the distribution (or rate of decline) is a function of the total current. Point (1) is not so surprising, as simple Ohmic losses in the film will reduce the energy level of electrons which are farther from the current collector. However, the fact that the RCD changes with total current is nontrivial. We now seek to develop a physical understanding of this effect with a simple analytical model. Later, we will develop the model further with a finite element simulation of the actual geometry, incorporating time-resolved physics in an effort to better explain the experimental data. 3.5.1 Analytical Model for the RCD in One-Dimensional Structures We consider a cross section of one of the electrodes, and consider only the region of electrode material which is not directly on top of the current collector (i.e. x > 0.25 mm in Figure 3.6b). In this region, we can develop an analytical pseudo one- dimensional model for the RCD as a function of applied current with certain simplifying assumptions. We neglect solid state diffusion entirely, which is reasonable if the characteristic relaxation time of a Li concentration gradient through the thickness Figure 3.7: A schematic of the geometry of the analytical RCD model developed in Section 3.5.1. 54 of the V2O5 thin film kz = y 4B89⁄ is much less than the total time of discharge. For a film thickness y of 26 nm and an estimated average diffusion constant[95] B89 of 10- 11 cm2/s, kz is about 0.17 seconds, two orders smaller than the shortest tested discharge time. Second, we assume that ionic transport within the electrolyte does not have a significant effect on the RCD. This important assumption is addressed more extensively in section 4.2. Third, we do not consider time in this model by assuming that any changes in concentration relative to the initial conditions remain small, and that the local electrode properties do not vary with charge inserted. The modeled geometry includes the thin film electrode of length :, contacted at one endpoint = : by a current collector. The in-plane electronic current density is described by the function [N Q. The current collector imposes an applied current density [N:Q = [6 at one end of the electrode. At the far end of the electrode, we assume that [N0Q = 0. This high aspect ratio electrode is in contact with a bulk electrolyte phase along its length. Between = : and = 0, the applied current is supplied through the RCD _fN Q, which couples ionic current in the electrolyte to the electronic current in the electrode. Therefore, in one dimension: _fN Q = C[N QC N1Q The most important element of the model is the form of the coupling equation _fN Q. The conversion of ionic to electronic current involves charge transfer with an associated activation energy. Because of this, ion insertion in battery materials is most commonly described using Butler-Volmer kinetics:[96] 55 _fN Q = _%{-|N}~}€Q − -|N}~}€Q N2Q for ‚ = ƒ 2<„⁄ , where _% is the exchange current density, ƒ is the number of charges transferred per reaction, < is the universal gas constant, is Faraday’s constant, „ is the temperature, and … is the equilibrium potential of the reaction. We assume a symmetric process. †g and †8are the local electric potentials in the electrode and electrolyte, respectively, and are functions of x. The RCD is then controlled by the local difference in electric potential between the electrode and electrolyte. There are two simplifications of the Butler-Volmer equation which lead to analytical solutions for the RCD. If the magnitude of the overpotential |†g − †8| is small compared to ‚, one can linearize equation (2), in which case the electrode-electrolyte interface acts like a typical linear resistor. If |†g − †8| is large, one of the terms will dominate, leading to the Tafel equation. As we will show below, the fact that the RCD varies with applied current necessarily implies the Tafel approximation is valid. For lithium insertion, the second term dominates, leading to C[N QC = _fN Q = −_%-|N}~}€Q N3Q Taking the derivative with respect to leads to C [N QC = −‚ C[N QC ˆC†gC − C†8C ‰ N4Q where we have re-substituted equation (3). The remaining task is to find expressions for the spatial derivatives of the potentials. Here we make the critical assumption that †8N Q = Š)ƒ‹k. so that C†8 C ⁄ = 0. Numerical simulations explicitly including 56 electrolyte conductivity, discussed below, support this assumption in this case. Next, an expression for \}~\ can be found from Ohm’s law: [N Q = −Œ C†IC N5Q where Œ is the electronic conductivity. Substituting equation (6) into (5) and making it dimensionless through introducing the variables q = /: and Ž = [/[6 leads to C ŽNqQCq − Ω CŽNqQCq ŽNqQ = 0 N6Q Ω = :[6‚Œ N7Q Equation (6) is a second-order nonlinear differential equation for the in-plane electronic current, controlled by a single dimensionless parameter Ω. Significantly, equation (6) ends up as a limiting case of the expression famously developed by Newman in his original description[77] of the current distribution in porous electrodes, if the electrolyte conductivity is considered infinite. Indeed, the above derivation would apply to any primarily one dimensional structure, such as highly porous particulate or nanowire/nanotube electrodes- the critical question being whether or not the assumption of no electrolyte transport limitations is a reasonable one. This would most commonly be the case for electrically resistive, high aspect ratio structures with a relatively low packing density.[47], [97] The solution to equation (6) with the boundary conditions shown in Figure 3.7 is ŽNqQ = 2Ω tanNqQ N8Q tanNQ − Ω2 = 0 N9Q 57 Where the solution of the transcendental equation (9) provides the constant  for a given Ω. Crucially, as the parameter  depends on Ω, the shape of the current distribution is strongly dependent on the total current through equation (7), which is reflected in the experimental data. Larger values of Ω lead to more inhomogeneous current distributions. An expression for the RCD can be found from the derivative of (7): _fNqQ/[6 = 2 Ω sec N qQ N10Q We might now ask the question- where, fundamentally, does the dependence of _fN Q on [6 come from in battery materials? To shed light on this, we can compare equation (10) to the solution for _fN Q under the linear approximation of the Butler- Volmer equation (i.e. for small overpotentials), instead of the Tafel approximation. Euler and Nonnenmacher derived[98] such a solution: _f&94%FNqQ [6⁄ = > cosh ™š_% : <„Œ q› N11Q where > is a dimensionless quantity independent of q. Remarkably, under the linear polarization approximation, the shape of the RCD is independent of the total applied current [6. Instead, the exchange current density _% appears in equation (11) and modulates the shape of the RCD through the linear Tafel resistance _% <„⁄ . Comparing equations (10) and (11), we see that the origin of the current dependent RCD in battery materials under high applied currents cannot be ascribed to Ohm’s law alone, and is instead due to the nonlinear current-voltage characteristics of an interface controlled by charge transfer with an activation energy. When anticipating the current- 58 dependence of the RCD, the charge transfer resistance is therefore just as important as the bulk conductivity- for a fixed current density, the charge transfer resistance determines which approximation to Butler-Volmer kinetics is valid. While the above calculation sheds light on the origin of the current- dependent RCD, equation (10) also predicts a length scale for the RCD for a given set of parameters. We estimated the values of Ω for the four electrodes measured in Figure 3.6b, given that : = 7.25,,, ‚ = 19.4 , and Œ = 0.4 h/, are known or measured experimentally. [6 (in this case, the in-plane current density in the V2O5 thin film at the current collector edge) is estimated from the fraction of inserted charge found in areas not directly above the current collector, under the assumption that the RCD does not vary too much spatially with time. The resulting solutions of equation (8) are plotted in Figure 3.8. The experimental parameters lead to extremely large values of Ω, which is perhaps not surprising given the relatively low electronic conductivity of V2O5 and large lateral dimensions. Indeed, while the above theory correctly predicts the Figure 3.8: Solutions of Equation 10 using estimated experimental values for œ for the data shown in Figure 4b, calculated based on the initial properties of V2O5 and electrode geometry (œ= 280.9, 1404.4, 5653.4, 9276.7 for the lowest to highest currents, respectively). The inset shows solutions using the same values of œ reduced by a factor of 100 in order to illustrate the approximate values of œ needed produce RCDs qualitatively resembling the SOC distributions measured by XPS. 59 dependence of the RCD on total current, it drastically underestimates correct distance over which the RCD decays. In Figure 3.8, which is plotted out to only 0.3 mm from the current collector edge, the reaction current for all cases has decayed to near 0 within only a few hundred microns, in contrast with the experimental data in Figure 3.6b. The inset plots solutions for the estimated values of Ω reduced by a factor of 100, which is the magnitude required to achieve a homogeneous RCD within the first two millimeters for the i0/5 case, as is observed in the experimental data. Essentially, the experimental system acts as if Ω is effectively much smaller than would be expected. 3.5.2 A Finite Element Model Incorporating Electrolyte Transport and Dynamic Electronic Conductivity To resolve this discrepancy, we developed a two-dimensional time-dependent finite-element model of the test electrodes, implemented using COMSOL Multiphysics, to tease out which of the assumptions in the above derivation is violated. We explicitly model the electrolyte using concentrated solution theory[99] and realistic parameters, as well as two-dimensional solid state diffusion of inserted Li ions in the thin film electrode. Electrical transport in the V2O5 is governed by Ohm’s law, and the charge transfer reaction at the electrolyte/electrode interface is described using Butler- Volmer kinetics. The electrode equilibrium potential …NŠQ is a function of the local concentration  of Li ions, and is referenced from an empirical near-equilibrium discharge curve for V2O5 for up to 3 Li ions inserted per unit cell. We modelled the constant-capacity data shown in Figure 3.6b by applying an average current density boundary condition to the current collector/V2O5 interface for a time ž such that the total integrated charged inserted into the electrode equaled 0.72 mC. Full details of the 60 model can be found in Appendix A. The results indicate that our assumptions regarding electrolyte transport are valid, and that the RCD is likely broadened due to an enhancement of the electronic conductivity of the V2O5 concurrent with the intercalation of lithium. Figure 3.9 shows the constant-capacity data from Figure 3.6b plotted separately along with the results of two different models. The results of the model in which the electronic conductivity of the V2O5 is fixed to its measured value of 0.4 S/m are shown by the dashed blue line in each panel. In line with the analytical model, the SOC distribution is predicted to be much narrower than is observed. In addition, there is structure visible in the modelled SOC distributions, such as the shoulders seen in Figure 3.9a at 0.6mm and in 3.9b at 0.5mm. These features come from the shape of the equilibrium potential …NŠQ (see Appendix A), and reflect the fact that the equilibrium potential drops rapidly during the phase transitions of LixV2O5. We do not observe such shoulders in the experimental data, despite taking data at a high enough spatial resolution to do so. This model also confirms that concentration variations in the electrolyte are minimal, justifying the assumptions in section 4.1 and pointing to a nontrivial effect. Even in the most extreme case (the 10io case shown in Figure 3.9d), the electrode salt concentration immediately above the current collector differs from the bulk by a maximum of 0.7%. The difference in electrolyte potential between the edge of the current collector and the far end of the film is 11 mV, compared to a several- volt variation in the solid potential, again confirming that the electrolyte is not playing an important role in controlling the RCD. 61 We hypothesized that the observed differences between the model, calculation, and experiment were therefore due to dynamic changes in the properties of V2O5 itself upon lithiation. A broader RCD than expected can only indicate an enhancement of electronic conductivity, which would dynamically lower the parameter Ω. This can be rationalized if the nature of electronic conductivity in V2O5 is examined. Conductance in V2O5 is thought to be dominated by small polaron transport,[87] in which charge carriers hop between energy states associated with V5+ ions and dilute V4+ ions, initially due to oxygen vacancies. According to Mott’s theory for polaron conduction[100], the Figure 3.9: Experimental results from the constant capacity experiment plotted along with the results of two different COMSOL models for the experimental conditions. The blue dashed line corresponds to a model in which the electronic conductivity is fixed to its initial value. The red solid line corresponds to a model in which the electronic conductivity varies as a function of the state-of-charge, peaking at a value of 70 times the initial value at a composition of Li0.5V2O5. Each curve representing simulation data has an added constant corresponding to the XPS-induced background V4+ concentration measured in the test electrodes to facilitate direct comparison (Ÿ  from section 3.3). 62 density of charge carriers should be proportional to oN1 − oQ, where o = T /0.0&, leading to a parabolic increase in the carrier density with lithiation through the reduction of vanadium ions. There are multiple reports in the literature which confirm that the electronic conductivity of V2O5 indeed increases with lithiation within a limited range using either chemical lithiation or specialized in-situ experiments. Julien[101] found that the conductivity reached a maximum near the composition Li0.5V2O5 before decreasing again as the composition approached Li1V2O5. Huguenin et al. found[102] a similar parabolic increase of conductivity in a V2O5 xerogel, measured in-situ and reaching a maximum in the approximate compositional region between Li0.5V2O5 and Li1V2O5. In both cases, the enhancement was approximately one order of magnitude, while Holland et al. found[103] an enhancement of 50x in a different V2O5 xerogel between Li0.03V2O5 and Li0.17V2O5. Finally, Badot et al. measured[104] electronic conductivity enhancement by a factor of 102 during lithiation of lightly Cr-doped V2O5. While Mott’s theory would predict a conductivity maximum at Li1V2O5, it seems that structural distortions in the V2O5 lattice counteract the increase in charge carrier concentration once the stoichiometry exceeds approximately Li0.5V2O5. It should be noted that Levi et al. found[105] that the conductivity of V2O5 decreased upon lithiation, indicating some level of uncertainty, but our data unambiguously supports an enhancement in conductivity. Our second model, plotted in red in Figure 3.9, therefore includes a modified Ohm’s law to account for the state-of-charge dependent conductivity: −ŒIN Q∇†I = i¢ N12Q 63 where †I is the potential in the electrode and i¢ is the current density vector, and ŒINxQ describes how the electronic conductivity changes with the state-of-charge x in LixV2O5. Blending the above data from the literature along with the expected parabolic increase in conductivity for small values of x, we implemented the following function: ŒIN Q = Œ` ¤−4Nγ − 1Q ˆ − 12‰ + γ¦ for 0 # # 1 N13Q where ©  is the initial electronic conductivity of the V2O5 thin film. With this model, the conductivity increases quadratically with x, reaching a maximum of ª©  at x=1/2 before decreasing back to its initial value at x=1. The parameter γ is used as a fitting parameter to reveal what conductivity enhancement is necessary to produce the experimental SOC distributions. We find that for γ=70, our model achieves good agreement with the data, though it is still difficult to achieve full agreement for all 4 curves. This level of enhancement falls at the high end, but within the range, of previous literature reports. Re-examining section 4.1, this indicates why reducing Ω from its nominal value by a factor of 102 more closely resembled the experimental data. Testing also shows that the exact form of Equation 13 is not vitally important, and most functions which increase and subsequently decrease the electronic conductivity in the region 02. We believe that some electrochemical self- discharge[94] may have occurred in the short time between the end of the applied current and when we were able to physically remove from the electrolyte and dry the test electrode (about 60 seconds). If this is the case, the measured SOC distributions are slightly relaxed relative to their “true” shapes at the instantaneous end of applied current, and the needed value of γ is correspondingly smaller. The modelled discharge curves match the experimental data most closely for γ in the range of 10 to 20, although it proved difficult to simultaneously predict all 4 curves with a single set of parameters. While the presence of a small amount of relaxation does not affect our general conclusions, we emphasize that this is an intrinsic limitation on most ex-situ battery 65 studies. Future work should therefore focus on faster and in-situ characterization of the RCD in similar test electrodes, perhaps using optical techniques. 3.4 Chapter Conclusions Characterizing the spatial distribution of electrochemical process inside batteries and battery electrodes is extremely challenging, but important for both designing higher-performance electrodes and verifying analytical and computational predictions about internal battery dynamics. Using a “lateral” model electrode proved Figure 3.10: Simulated discharge profiles for the test electrode geometry under different assumptions of peak conductivity. (a) shows simulated discharge profiles for a model with no change in the electronic conductivity. These potentials are references to the fixed potential (V=0) of the ideal lithium anode. They do not include double layer capacity, which would otherwise lead to a slight downward slope at the beginning of discharge. 66 extremely useful by laying out the electrochemical gradients of interest across the surface of a flat substrate, allowing for characterization of the reaction current distribution using XPS-based mapping of the local state-of-charge. The simplicity of the design allowed us to isolate the effect of electronic conductivity on the RCD within a single material, which facilitated a comparison between basic physical theory and the experimental data. Our experiment revealed that the RCD changes dramatically as a function of applied current. In electrodes limited by electronic conduction, through either their low intrinsic conductivity or the electrode architecture, the RCD becomes spatially restricted and concentrated near the current collector contact at large applied currents. In addition, the shape and extent of the RCD depends on the magnitude of the current. This is not due to Ohm’s law alone, as is commonly assumed, but is a property governed by the nonlinear current-voltage characteristics of battery electrode/electrolyte interfaces. Though our analytical model was able to correctly predict a dependence of the RCD shape on total current, we observed a large mismatch in the characteristic length scale predicted by theory versus the measured SOC profiles. A more sophisticated finite-element model strongly suggests that a dynamic increase of electronic conductivity concurrent with lithiation in V2O5 plays a large role in the RCD. This is an important and possibly very general observation, as many commonly used battery electrode materials exhibit orders-of-magnitude changes in electronic conductivity[106]–[108] during ion insertion or deinsertion, though this is not often incorporated into battery models. Nevertheless, if the average conductivity is known, the parameter « should provide a rough guideline for achieving mostly homogeneous 67 RCDs in 1D electrode structures with limited electrical contact to the current collector, such as nanowire arrays or other similar microarchitectures under development. Our novel experimental design, along with a careful comparison to basic theory and a finite element model, revealed the current-dependent RCD within a pure electrode material for the first time. 68 Chapter IV: Development of an ALD-grown Lithium Polyphosphazene Solid State Electrolyte 4.1 Chapter Summary Several active areas of research in novel energy storage technologies, including three-dimensional solid state batteries and passivation coatings for reactive battery electrode components, require conformal solid state electrolytes. In the context of this thesis, the development of a reliable, quick, and robust conformal solid state electrolyte is the most important component of the full cell, and we devoted the greatest attention to it. We describe an atomic layer deposition (ALD) process for a member of the lithium phosphorus oxynitride (LiPON) family, which is employed as a thin film lithium- conducting solid electrolyte. The reaction between lithium tert-butoxide (LiOtBu) and diethyl phosphoramidate (DEPA) produces conformal, ionically conductive thin films with a stoichiometry close to Li2PO2N between 250 and 300C. Unusually, the P/N ratio of the films is always 1, indicative of a particular polymorph of LiPON which closely resembles a polyphosphazene. Films grown at 300C have an ionic conductivity of 6.51 N±0.36Q × 10® S/cm at 35C, and are functionally electrochemically stable in the window from 0 to 5.3V vs. Li/Li+. We demonstrate the viability of the ALD-grown electrolyte by integrating it into full solid state batteries, including thin film devices using LiCoO2 as the cathode and Si as the anode operating at up to 1 mA/cm2. The high quality of the ALD growth process allows pinhole-free deposition even on rough crystalline surfaces, and we demonstrate the successful fabrication and operation of thin film batteries with ultrathin (<100nm) solid state electrolytes. Finally, we show an 69 additional application of the moderate-temperature ALD process by demonstrating a flexible solid state battery fabricated on a polymer substrate. 4.2 Introduction 4.2.1 Conformal Solid State Electrolytes Lithium-ion conducting solid state electrolytes (SSEs) are increasingly important materials in the energy storage technology landscape. SSEs enable all-solid- state secondary lithium-based batteries (SSBs) by directly replacing the flammable organic liquid electrolytes currently used in lithium-ion secondary cells, which significantly reduces or eliminates the chance for catastrophic failure.[2], [109], [110] As a result, SSBs are particularly attractive for safety-critical applications, such as aircraft power systems or human-integrated wearable or implantable electronic devices. SSEs also enable, in some cases, wider voltage windows through increased electrochemical stability[23], better high-temperature stability[111], and even increased power density though the higher concentration of charge carriers available in SSEs.[20] SSEs are also playing an increasingly important role as passivation coatings for electrodes and electrode particles,[61], [112], [113] both in conventional liquid- based lithium ion systems and in solid state systems. Thin SSEs promote the stable coupling of otherwise reactive cell components, such as Li metal and water,[114] or various cathode materials and sulfide-based solid electrolytes.[20] The ability to grow SSEs conformally is particularly advantageous, i.e. with uniform thickness over challenging three-dimensional (3D) topography. The only currently commercially available SSBs are thin-film solid state batteries, which have 70 many attractive qualities including stability for thousands of cycles, excellent electrode/electrolyte interface quality, and extremely low self-discharge rates.[33], [115] However, as thin film SSBs are currently exclusively made using line-of-sight deposition techniques such as thermal evaporation and RF sputtering, their fabrication is limited to planar substrates, ultimately placing an upper limit on their energy density on the order of ~1 mWh/cm2.[33] The ability to grow 3D thin film SSBs on high surface area patterned substrates using conformal deposition processes would alleviate this limitation and allow for the independent design of both power and energy densities per device footprint.[5], [35], [116] Attempts to accomplish this with sputtering have been largely unsuccessful due to electrical shorts and inhomogeneous current distributions.[38], [39] In the context of passivation coatings, conformally-grown SSEs are required to cover the complex, 3D structure of both individual electrode particles and preformed composite electrodes.[59] In this chapter, we describe the development of a SSE in the lithium phosphorus oxynitride (LiPON) family grown using atomic layer deposition (ALD), which utilizes self-limiting gas-phase chemical reactions to grow thin films of material.[52] This property enables ALD to grow extremely conformally, to avoid the interaction of supporting solvents with substrates, and often to allow for lower deposition temperatures when compared with chemical vapor deposition. Previously demonstrated ALD electrolytes include Li-containing amorphous metal oxides made by combining a lithium oxide ALD process with existing multicomponent oxide processes, including Li-Al-O, Li-Al-Si-O, Li-La-Ti-O, Li-Nb-O, and Li-Ta-O ternary and quaternary films.[71], [117]–[120] These processes uniformly produced materials 71 with ionic conductivities of < 10-7 S/cm at room temperature, grow slowly due to the number of ALD subcycles involved, and often incorporate multivalent metal ions which can degrade electrochemical stability. The most promising ALD electrolytes to emerge to date are members of the LiPON family, which is the electrolyte of choice in existing thin film batteries due to its electrochemical stability, ionic conductivity (~10-6 S/cm) and high electrical resistivity.[22], [115], [121] Incorporating nitrogen into existing ALD processes[73] for Li3PO4 proved to be a challenge. The first ALD process for LiPON, which was developed in our group (see section 4.2.2), involved nitrogen incorporation through use of a N2 plasma, which, while providing an attractive degree of compositional tunability, induces limits on conformality due to plasma radical recombination in high aspect ratio structures. Nisula et al. introduced, nearly simultaneously, the use of diethyl phosphoramidate (DEPA) as a precursor,[122] which contains a pre-formed P-N bond, and grew LiPON-family films with a stoichiometry of Li0.9P1O2.8N0.55 with some hydrocarbon incorporation at 290C using lithium hexamethyldisilazide (LiHMDS) as a lithium source. Shibata also recently demonstrated[123] a thermal process for LiPON using NH3 as a nitrogen source along with lithium tert-butoxide (LiOtBu) and tris- dimethylaminophosphorus, but reported growth only at temperatures well above the thermal decomposition temperature of LiOtBu, which calls into question the self- limiting nature of the process.[124] Plasma-enhanced chemical vapor deposition 72 processes for LiPON-family films have also been developed.[125] In this chapter, we explored the reaction between LiOtBu and DEPA, which results in the growth of conformal, high quality solid electrolytes with a stoichiometry of ~ Li2PO2N (excluding carbon contamination) which we identify as a lithium polyphosphazene (LPZ) for reasons discussed below. The reaction and product are shown schematically in Figure 4.1. The figure is a simplification; for instance, LiOtBu tends to vaporize as a hexamer and the formed Li2PO2N structure has significant defect and carbon incorporation. ALD-based SSEs remain largely untested when integrated into full solid state batteries, nor have the electrochemical stability windows been established in most cases. While metal-electrolyte-metal stacks allow for the characterization of ionic and electronic conductivity, they do not simulate realistic electrode/electrolyte interfaces, Figure 4.1: Schematic representation of the DEPA/LiOtBu ALD process. The formed polyphosphazene product can form a number of different molecular configurations with the measured stoichiometry, including linear chains and rings. Molecular models were generated using MolView. 73 which are often chemically and electrochemically reactive, and quite rough in the case of crystalline electrodes. A major benefit of very thin SSEs is that their total resistance can be low enough such that a battery will be limited in power performance by ionic diffusion in the anode/cathode well before Ohmic losses in the electrolyte.[39], [126] Achieving higher power performance then requires increasing the surface area of the electrodes using 3D architectures, for which a conformal solid state electrolyte is necessarily required. In addition, when using ultrathin solid electrolytes, the electrochemical stability is arguably more important than the ionic conductivity. In contrast to sputtered materials, ALD- grown electrolytes often contain fragments of precursor ligands from incomplete reactions, and their effect on electrochemical stability is unclear. [71], [122] Finally, while ALD is generally considered capable of growing electronically insulating films at lower thicknesses than any other film deposition technique, the downscaling capability of ALD-grown solid electrolytes in complete batteries is unexplored, with the exception of a single cycle of a battery using the plasma-based LiPON process.[127] Here, we show that ALD LPZ is compatible with two different solid state battery chemistries (LiCoO2/Si and LiV2O5/Si), fully characterize its transport characteristics and electrochemical stability, and demonstrate the thinnest reported pinhole-free solid electrolyte (~30nm) integrated into a full battery (>3V cell voltage) holding charge. 4.2.2 Previous Collaborative Work on ALD SSE Development On route to developing the thermal solid electrolyte described in this chapter, our group invested time in characterizing the chemistry of LiOtBu ALD processes with H2O and an oxygen plasma as oxidants. With our vacuum-coupled XPS, we were able 74 to get a more definitive understanding of the process chemistry without the development of reaction layers with the air. LiOtBu + H2O was a temperature dependent process, producing Li2O at or above 225C and producing LiOH below, due to a rate competition between film growth and thermal dehydration of LiOH in vacuum.[128] We subsequently developed the first ALD process for LiPON, which involved four precurors: LiOtBu, H2O, trimethylphosphate, and a nitrogen plasma in order to incorporate nitrogen doping. This could be considered the “brute force” method of making ALD LiPON, and while it was successful, the use of four precursors made the process very slow, and the use of a nitrogen plasma put an upper limit on the conformality of the process due to radical recombination.[26] 4.3 The LiOtBu and DEPA ALD Process 4.3.1 Process Parameters We explored the growth characteristics and chemistry of the LiOtBu-DEPA reaction between 200 and 300C primarily using two in-situ methods. First, we utilized in-situ spectroscopic ellipsometry (SE) to noninvasively determine the process parameters, and growth rate of the deposited thin films. Second, we utilized x-ray photoelectron spectroscopy (XPS) to determine the detailed chemistry of the deposited films. The ALD reactor and XPS system are coupled through an ultrahigh vacuum transfer chamber, allowing for the rigorous exclusion of surface contamination. As the grown polyphosphazene films were found to be sensitive to air exposure, these two techniques provide the most reliable information. 75 SE measures changes in the polarization of light upon reflection from an optically flat surface, and when an appropriate optical model is determined, can easily measure sub-monolayer thickness changes as they occur pulse-to-pulse during an ALD process.[129] For ALD development, in-situ SE has the further advantage of rapid process characterization, as it is possible to vary parameters such as temperature and pulse times while monitoring deposition on a single substrate and without breaking vacuum. Details of the optical model used here can be found in the experimental section. Overall, we find that the LiOtBu-DEPA reaction is a well-behaved, though non-ideal, ALD process, exhibiting self-limiting growth as a function of precursor dosage but lacking an obvious temperature window of constant growth rate. Figure 4.2 outlines the processes parameters as determined by SE. Figure 4.2a shows a snapshot of typical linear growth measured in-situ for the baseline 300C process. Figure 4.4: Process parameters of the LiOtBu-DEPA ALD reaction measured by in-situ spectroscopic ellipsometry. (a) A snapshot of linear growth at 300C with the inset showing 2 full cycles. (b) Growth per cycle of films at 300C as a function of precursor dose time, showing saturation for both precursors. The LiOtBu pulse time was fixed at 20s and the DEPA pulse time was fixed at 2s when varying the other precursor. (c) Growth rate as a function of reactor temperature 76 The inset shows differential increases in film thickness associated with both the LiOtBu and DEPA pulses, resulting in a net growth rate of approximately 0.9 Å/cyc. Figure 4.2b shows growth rates measured as averages over 30 cycles for different combinations of LiOtBu and DEPA pulse times determined by SE on a single sample after steady state growth had been achieved. Both precursors exhibit self-limiting behavior, indicative of the surface-mediated half-reactions typical of an ALD process. LiOtBu requires exceptionally long pulse times to saturate, which is due to both its intrinsically low vapor pressure and our inclusion of low-conductance particle filters in the delivery lines to prevent fine particles of precursor from reaching the chamber. However, as shown in Figure 1c, the growth rate increases approximately linearly across the entire tested temperature range, from about 0.15 Å/cyc at 200C to 0.9 Å/cyc at 300C and does not exhibit a constant-growth window, consistent with a thermally activated reaction. A variety of ALD processes are self-limiting but lack a clear constant-growth window.[130] 77 Repeated measurements of the ALD process at 300C has also shown that the growth rate tends to slowly decline over time if the precursors are kept continuously heated. After several weeks of storage on the ALD system, the overall growth rate is often reduced by 20 to 30%, although all other aspects of the ALD process, including self-limiting behavior, are preserved. As the nominal growth rate is restored by replacing the LiOtBu, we believe that the precursor undergoes a slow decomposition reaction even at moderate temperatures (100 – 140C). Saulys et al. have suggested[124] that LiOtBu may undergo a self-catalyzed decomposition reaction induced by trace Figure 4.5: (a) A schematic of the proposed molecular structure of the ALD-grown Li2PO2N (ALD LPZ). Individual atomic sites are labelled with greek letters which correspond to peaks identified in the high resolution XPS data shown below the schematic. (b-k) High resolution XPS core level spectra of ALD LPZ films grown at 250 and 300C. Spectra are calibrated to the θ component of the C 1s at 284.8 eV in each case. (l) Survey spectrum of a LPZ film grown at 300C showing the relative intensities of the constitutive elements (m,n) Tapping mode AFM of ~50nm ALD LPZ films grown at 250 and 300C showing a root mean square roughness (RRMS) of below 2nm in each case. 78 H2O, producing tert-butanol and isobutylene, which could contribute to the reduced growth rate if these species adsorb on the substrate surface and block reactive sites. 4.3.2 LPZ Film Characterization: XPS, AFM, and XRD Films deposited at 250 and 300 C (LPZ-250 and LPZ-300, respectively) were transferred under UHV directly from the ALD chamber to a coupled XPS spectrometer to identify the chemical composition. The spectra, along with the proposed molecular structure of the material, are shown in Figure 4.3. While measuring Li-containing thin film composition by XPS quantification is normally challenging due to the tendency of many such materials to react with air and other environmental contaminants, forming a compositional gradient within the XPS analysis region, our experimental conditions preserve the surface region and allows for accurate analysis.[128] Table 4.1 summarizes the composition found through quantification of the high resolution peaks in Figure 4.3. The films are composed entirely of Li, P, O, N, and C, (Figure 4.3l) indicating that the ALD process produces a member of the lithium phosphorus oxynitride (LiPON) family. The LiPON family comprises a wide range[27], [24] of compositions and microstructures which lie inside a quaternary phase diagram with the endpoints Li2O, Li3N, P3N5, and P2O5. The exact chemistry of a given LiPON-family material has a strong effect on its ionic conductivity, electrochemical stability, and environmental stability.[27], [121] The nature of N incorporation is particularly influential, with higher nitrogen concentrations generally correlating with higher ionic conductivity and a lower activation energy.[131], [132] When substituted for oxygen in lithium phosphate, nitrogen atoms can link either two 79 (=N-) or three (>N-) phosphorus centers, as identified by the doublet commonly observed in N 1s XPS spectra. No clear correlation has been identified in the literature as to whether one type of bonding is preferable; the analysis is complicated by the fact that most XPS studies are ex-situ, and the surface chemistry may not reflect the bulk. Table 4.1: XPS quantification of ALD LPZ films. Samples were transferred to the spectrometer under vacuum. Li (at. %) P (at. %) O (at. %) N (at. %) C (at. %) Comp. Relative to P excluding C LPZ-250 24.1 14.3 29.9 14.9 16.8 Li1.7P1O2.1N1 LPZ-300 27.9 15.0 32.0 15.2 10.0 Li1.9P1O2.1N1 80 XPS quantification (Table 4.1) shows that the ALD process produces a composition close to the stoichiometry Li2PO2N, especially at higher temperatures, albeit with a significant amount of carbon incorporation from residual ligands. In particular, the P/N atomic ratio in these films is always 1 to within the accuracy of XPS quantification. The stoichiometry Li2PO2N strongly suggests a particular polymorph of LiPON, recently predicted to be stable and synthesized by Du et al.[25] in a crystalline form, in which alternating P and N atoms form a linear backbone with Li atoms coordinating with both oxygen atoms bonded to the phosphorous centers and the linking nitrogen atoms (Figure 4.3a). Stoichiometries approaching Li2PO2N have been achieved with RF sputtering processes in a few reports, generally when performed in pure N2.[27], [132] Crystalline Li2PO2N has also been used as a sputtering target for depositing electrolyte thin films.[133] Because of the presence of linear P-N chains, we Figure 4.4: XRD of a LPZ-300 sample showing the amorphous nature of the films. This corresponds well to the lack of structure identifiable in TEM cross section studies. 81 refer to this polymorph as a “lithium polyphosphazene” (LPZ) to differentiate it from the broader term “LiPON”. Li2PO2N sits at the boundary between conventional LiPON glasses and polyphosphazene-based salt-in-polymer electrolytes, which have been explored for their chemical stability in lithium-ion batteries.[134], [135] The films are always amorphous in the tested temperature range, as indicated by the lack of identifiable peaks in XRD (Figure 4.4) and the few-nm surface roughness as measured by AFM (Figures 4.3m, 4.3n). The high resolution XPS spectra also strongly support the identification of the grown material as a lithium polyphosphazene containing a population of chemical defects. Figures 4.3b-4.3k plot core level spectra from LPZ films grown at two different temperatures, in which fitted chemical components are labelled with greek letters corresponding to proposed associated atomic sites labelled in Figure 4.3a. These data were repeatable and consistent for a given deposition temperature. All core level spectra are calibrated by placing the lower binding energy component of the C 1s spectra at 284.8 eV under the assumption that this peak is associated with embedded hydrocarbon from residual ligands and unreacted precursor fragments. In the ideal polyphosphazene chain structure, there is only one distinguishable chemical environment for each of Li (-O-Li+), P (=P-), O (P-O-Li+), and N (-N=). In the XPS data, there is indeed only one component identifiable in the Li 1s and P 2p spectra, designated as the α and β components (note that the P 2p spectra are fit with a constrained spin-orbit split doublet). The O 1s and N 1s spectra contain two components, each with a minor impurity peak on the high binding energy side of a major component. For each, we identify the larger O 1s δ and N 1s ζ components as 82 originating from the primary polyphosphazene chain structure, and the much smaller γ and ε components as originating from a number of possible chemical defects. Theoretical calculations by Du et al. and far-IR spectroscopy by Carrillo Solano et al. have indicated that Li cations in the Li2PO2N structure coordinate with both the O and N atoms, likely creating a weak or partial ionic bond with both.[27], [24] This is consistent with the relatively low binding energy of the O 1s δ at 530.6 eV and especially the N 1s ζ component at 396.7 eV, which sits in a range normally associated with N3- in metal nitrides.[136] These binding energies are generally in agreement (within 1 eV) with other XPS measurements[131] of LiPON, though the comparability of data taken from air exposed films is questionable given that virtually all forms of LiPON are air reactive through hydrolysis and carbonate formation.[137] Next, we identify the origin of the O 1s γ and N 1s ε components, as well as the nature of the carbon incorporation. In the LiPON family, the N 1s peak is commonly split into two components, with a lower binding energy peak associated with doubly bonded N (P-N=P) and a high binding energy peak associated with triply bonded N (P- N#¯¯), in general agreement with the spectral shapes observed in Figures 4.3e and 4.3j and with the typical 1.5 eV separation between the ε and ζ components.[27] The N 1s ε component is therefore tentatively identified as triply bonded nitrogen, forming links between linear polyphosphazene chains, and decreases in intensity for LPZ-300 relative to LPZ-250. The O 1s γ component, located at about 532.6 eV for LPZ-250 and 533 eV for LPZ-300, lies in a crowded region of binding energies which includes many organic oxygen-carbon species as well as phosphorus-bridging oxygen (P-O-P), 83 which is commonly observed in amorphous phosphates and LiPON with a N/P ratio of less than 1.[26], [131] This peak is most likely linked to the C 1s η component which sits between 286 and 287 eV, consistent with -C-O- bonding. Taken together, the O 1s γ and C 1s η and θ components all primarily arise from precursor ligands incorporated into the film, including tert-butoxy (-OC(CH3)3) groups from the Li precursor and diethyl groups from DEPA. We also note that the primary deviation from the ideal stoichiometry (Li2PO2N) is a Li deficiency, especially for films grown at 250C. This can be rationalized by recognizing that incorporated organic ligands from the precursors would likely replace the –OLi group on the phosphazene chain, leading to an inverse correlation between Li and C content, as is observed. Finally, the carbon content and impurity components all decrease in relative intensity with the higher growth temperature, indicating a more phase-pure material with longer polyphosphazene chains on average. 4.3.3 Proposed Reaction Mechanism The production of Li2PO2N suggests that the ALD reaction between LiOtBu and DEPA is complex, and we do not propose a complete mechanism at this time. The atomic ratio N/P = 1 in the product suggests that the P-N bond in the DEPA molecule is not broken during the ALD reactions, and the ratio O/P = 2 in the product compared to O/P = 3 in DEPA indicates oxygen is lost through the breaking of a P-O bond, which is surprising given that P-N bonds are generally considered to be weaker and more reactive.[138] The ALD reaction reported here also appears to be chemically distinct from the LiHMDS-DEPA ALD process reported[122] by Nisula at al., which produced 84 a significantly different LiPON-family thin film with the stoichiometry Li0.9P1O2.8N0.55 at 290C, more closely resembling a nitrogen-substituted lithium metaphosphate (LiPO3) than a polyphosphazene when the Li/P and N/P ratios are compared. This compositional difference, along with entirely different temperature-dependent growth rates of the LiHMDS-DEPA reaction, suggests that the ligand chemistry of the Li precursor plays a significant role in the ALD reaction pathways. If the structure of the ALD-grown films is indeed polyphosphazene chains, we hypothesize that these reactions may be better characterized as surface-mediated polymerizations rather than a traditional ligand-exchange ALD process, as the DEPA molecules must be linked through the amine group to achieve the ending stoichiometry. As an example, Nielsen demonstrated head-to-tail self-condensation of diphenyl phosphoramidate forming P-N-P chains in the presence of a strong base which could deprotonate the amine group, and we believe the LPZ ALD reaction could follow a similar pathway.[139] This linking process is not purely thermally activated and must involve LiOtBu, as in-situ SE of repeated pulses of DEPA alone at 300C shows no significant film growth (Figure 4.5). Atanasov et al. reported oxidative polymerization in a molecular layer deposition process, indicating the possibility of such surface- 85 controlled polymerization reactions. [140] Figure 4.5: In-situ ellipsometry of film growth while pulsing DEPA only (no LiOtBu pulses) at 300C on a pre-grown LPZ-300 surface 16.6 nm in thickness. The blue arrows mark the locations in time of 2s DEPA pulses (separated by 30 seconds and which continue during the entire plotted time period). The first pulse results in the strongest change measured by SE, and subsequent pulses contribute smaller and smaller differential changes in film thickness before saturating at a total change of ~ 1 angstrom. This indicates that DEPA alone does not form a film. 86 4.3.3 Conformality in 3D Structures An important feature of ALD is the ability to conformally coat high aspect ratio structures. Qualitatively, we observed consistent backside deposition on planar substrates loaded into the ALD reactor, though the degree of conformal deposition was sensitive to precursor dose, and was most conformal with fresh LiOtBu and DEPA. To demonstrate the conformality of the LiOtBu-DEPA ALD process, we fabricated arrays of holes, 3 microns in diameter and 30 microns deep, etched into a Si wafer using reactive ion etching (Figure 4.6a). The hole array was thermally oxidized to form a SiO2 Li diffusion barrier and exposed to 910 cycles of the LiOtBu-DEPA ALD process Figure 4.6: (a) SEM image of RIE etched holes (aspect ratio 10) in Si on the side of a cleaved wafer. (b)Ga+ (FIB) image of a targeted region for analysis (c) Li signal from FIB-excited TOF-SIMS which is then mapped in (d), showing the distribution of the Li-containing ALD LPZ film down the hole walls. Small variations in signal intensity are primarily a result of geometric effects relating to the orientation of the FIB, the sample, and the SIMS detector. Scale bars in all images correspond to 5 µm. No Li signal is observed at the bottom of the holes due to a shadowing effect from the 3D geometry. 87 at 300C. The deposited polyphosphazene films were difficult to clearly image on a cleaved cross-sectional sample and showed very little contrast with SiO2, and we therefore measured the spatial Li distribution directly using Ga+ excited time-of-flight secondary ion mass spectroscopy (ToF-SIMS) (Figure 4.6b-d). The ToF-SIMS analysis clearly shows the presence of a Li-containing thin film all the way to the pore bottom, demonstrating conformality in a structure with an aspect ratio of 10. ToF-SIMS has proven to be a very useful and sensitive tool for measuring the spatial distribution of Li-containing thin films, as Li has a very small cross section for x-ray excitation (for energy dispersive x-ray spectroscopy, for instance). 88 4.4 Transport Properties and Electrochemical Stability of ALD LPZ 4.4.1 Electronic and Ionic Conductivity To test the ALD LPZ films for suitability as SSEs in thin film planar and future 3D SSBs, we measured the ionic and electronic conductivities of the material in several configurations (Figure 4.7). First, we fabricated metal-electrolyte-metal (MEM) stacks using electron-beam evaporated Pt as a symmetric blocking electrode (Pt/Li2PO2N/Pt), Figure 4.7: Transport measurements of ALD LPZ. (a) PEIS of Pt/80nm LPZ-300/Pt and Pt/70nm LPZ-250/Pt film stacks. The data for LPZ-300 (Td = 300) is plotted at several temperatures to illustrate the thermally activated transport process. For these samples, the LPZ was briefly air-exposed, leading to the development of a second arc at medium frequencies. (b) PEIS of Pt/LPZ-300/Li synthesized without air exposure, demonstrating an ideal single arc at high frequencies and an overall higher conductivity. (c)Activation energies for ionic transport in LPZ-300 films, with and without air exposure. (d) Current-time response from a 2V constant bias in a Pt/70nm LPZ-250/Pt stack. LPZ-300 films showed a similar response. The red line shows the lower limit of resolution for the potentiostat. No dielectric breakdown or increase in conduction was observed even after one hour of polarization. 89 using a planar Pt film as the bottom electrode and shadow-masked 1mm diameter circular top electrodes to define the device area. Potentiostatic electrochemical impedance spectroscopy (PEIS) was used to measure the ionic conductivity of LPZ films grown at 300C and 250C (80nm and 70nm in thickness, respectively, measured by FIB cross section). The LPZ films were air-exposed for approximately 10 minutes during fabrication before anode deposition. Figure 4.7a shows results from the impedance tests plotted on the complex plane for the LPZ-300 film at three different temperatures, as well as data from the LPZ-250 sample at 35C. While all the spectra exhibit the hallmarks of ionic conductivity, which include semicircular arcs at high frequencies followed by a rapid increase in the imaginary component of the impedance at low frequencies concurrent with double layer formation on the blocking electrodes, the data also indicate two separate components in the high frequency region. This suggests two separate ionic transport processes, possibly due to to either grain boundary transport or the presence of a reactive interphase layer at the electrode-electrolyte interface. As the LPZ is amorphous, we adopted the second explanation and modelled this data using the equivalent circuit shown in Figure 4.7a. The model includes two parallel resistor/constant phase element (CPE) components in series, with one (Rb and CPEb) corresponding to the “bulk” of Sample ID LPZ Thick n. (nm) Rb (Ω) Rr (Ω) CPEb Q CPEb n CPEr Q CPEr n χ2 LPZ-300 (Pt/Pt) 80 1085 538 6 9.02 × 10-9 0.89 9 9.16 × 10- 8 0.79 5 2.3 × 10- 4 LPZ-250 (Pt/Pt) 70 1448 504 9 7.93 × 10-9 0.90 3 1.32 × 10- 7 0.78 3 2.4 × 10- 4 LPZ-300 (Pt/Li) 90 1749 — 8.91 × 10-9 0.86 4 — — 1.3 × 10- 4 90 the LPZ film and the second (Rr and CPEr) corresponding to the resistive reaction layer. A third CPE (CPEW) models the Warburg-like blocking behavior at low frequencies. We found the use of constant phase elements, which empirically take into account the distribution of activation energies and correlated ion motion expected from an ionically conductive glass,[141] necessary to fit the data. Detailed fitting parameters for the model can be found in Table S1. The total ionic conductivity, calculated from Œ = N/:, where > is the electrode area and : is the film thickness, is 1.6 N±0.1Q × 10® S/cm for LPZ-300 and 1.4 N±0.14Q × 10® S/cm for LPZ-250, measured at 35C, indicating that the ionic conductivity decreased slightly at the lower deposition temperature, consistent with the larger amount of impurities identified by XPS. This value is lower than typical sputtered LiPON by roughly one order of magnitude.[33], [121] The electronic conductivity of the films was determined by applying a constant 2V bias to Pt/Li2PO2N/Pt stacks (Figure 4.7d). The measured current rapidly relaxes to the limit of detection of the potentiostat (1 nA), placing an upper bound on the electronic conductivity of Œ% ≤ 4.4 × 10P S/cm, nearly 6 orders of magnitude below the ionic conductivity. We also fabricated Pt/Li2PO2N/Li solid state half cells using LPZ-300 to test the electrochemical stability of the deposited films, described further in Section 4.4.2. As the lithium evaporator used is directly coupled to the vacuum system used for ALD growth, we were able to make the full stack without any environmental exposure. PEIS in this case exhibited a much more ideal response (Figure 4.7b) and could be fit with only a single R/CPE component, which further confirmed that the extra impedance exp³− ´µX6¶, plotted in Figure 4.7c. Air-exposed LPZ-300 films had an effective (including effects from the reaction layer) activation energy of 0.64 ± 0.01 eV, in contrast with the non-air-exposed LPZ-300 devices with Figure 4.8: Data from an XPS depth profile of an air-exposed ALD LPZ-300 film grown on a Si substrate (total thickness ~50nm). (a) Survey spectra plotted as a function of etching time (b-g) High resolution spectra of the C 1s and N 1s core levels taken at the surface (b,c) after one etch cycle (d,e) and from the bulk of the film (f,g). High resolution spectra are calibrated to the hydrocarbon component at 284.8 eV. 92 $± = 0.55 ± 0.01 eV. The latter value is in excellent agreement with a number of previous studies of LiPON-family materials.[121], [132] XPS depth profiling of an air-exposed LPZ film using an argon gas cluster sputtering source revealed the presence of a significant layer of Li2CO3 formed at the LPZ/air interface, as well as changes in the N chemistry near the surface (Figure 4.8). The PEIS fitting component 3V vs. Li/Li+), and excellent cycling stability.[154], [155] The primary downside of the material is a limited rate capability due to a relatively low average chemical diffusion coefficient for Li (≈ 10-13 cm2/s),[68], [156] although as we will show below this problem can be partially mitigated through the use of nanoscale films and 3D structuring. Direct routes to synthesizing ALD LiV2O5 with vapor-phase chemistry were not explored in the context of this thesis, but remain promising avenues for development. 5.2.2 A New ALD Anode: Tin Oxynitride On the anode side, the use of Li metal is currently ruled out for lack of a plausible ALD process. Thus, any all-ALD conformal SSB will be a Li-ion cell. ALD processes for single-element alloying type anodes such as Si, Sn or Al are also yet to be developed, although relatively low temperature and conformal CVD processes are available for Si in particular.[157] Conversion-type anodes, which undergo a first-cycle irreversible transformation into an active phase, are a promising alternative and are readily made via vapor phase chemistry. 114 For the batteries in this chapter, we developed a novel ALD process for amorphous tin oxynitride (SnOyNx) grown using tetrakisdimethylamidotin (TDMASn) as the tin source, and a N2 plasma as the nitrogen source. Development of this new Figure 5.2. (a) Reactor pressure and film thickness during growth of SnNy thin film, measured by in-situ SE, showing discrete ALD pulses, and step wise growth. Dashed lines indicate beginning of each precursor pulse. (b) GPC saturation curves for TDMAS and pN2 pulse times. (c) GPC and film density versus growth temperature, using optimized pulse times from (b). (d) SnNx (no water pulse) film composition versus growth temperature, measured by XPS quantification neglecting species associated with surface contamination. (e) process flow for O doping of the nitride film through the incorporation of H2O subcycles. (f) SnNxOy film composition versus N/O cycle ratio, measured by XPS quantification neglecting species associated with surface contamination. All films were grown at 200C. 115 anode material was performed as a collaboration with Dr. David Stewart, a postdoc in the Rubloff lab. While atomic layer deposition of tin oxide (SnO2) has been described multiple times previously and characterized electrochemically when used as an anode material for lithium storage, SnO2 characteristically rapidly loses capacity when cycled due to a physically laborious conversion reaction associated with a significant volume change and large charge-discharge hysteresis. However, the large capacity of the material (782 mAh/g) nonetheless motivated us to develop a more stable, but similar alternative. This process produces an amorphous film with a tunable composition. We targeted tin nitride, which has been utilized previously in lithium-ion configuration planar thin film SSBs,[33] because of its low electrochemical potential (operating below 1V vs. Li/Li+) and use of nitrogen as the oxidant, which was expected to be less damaging to the electrolyte/anode interface than H2O.[158] Figure 5.2a-d shows the measured process parameters for the TDMASn/N2 plasma ALD process, using only N2 plasma as an oxidant. Such films are referred to as “SnNx” We were also able to introduce oxygen by using supercycles including H2O (Figure 5.2e) which allowed tuning of the composition over a wide range while still maintaining ALD characteristics. Solid state half cell characterization (thin films integrated with sputtered LiPON and evaporated Li anodes) of SnNx, mixed oxynitride SnOxNy, and SnO2 (Figure 5.3) revealed that the “pure” tin nitride SnNx exhibited the best properties. The superior capacity retention over 200 cycles, as well as the more favorable charge/discharge curves, lead us to choose SnNx as the anode material in the 3D batteries. 116 5.2.3 Current Collectors There are multiple ALD chemistries available for current collectors, and for this component we have not needed to develop novel processes. Robust ALD processes for Pt and Ru are available, though at relatively high temperatures (>300C) using molecular oxygen or ozone as oxidants. Pt and TiN have both been tested in the context of acting as cathode current collectors[159], and Ta, TaN, and TiN were collectively compared[37] in a similar experiment. TiN is particularly promising as it can be grown at low temperatures using TDMAT and plasma NH3 while maintaining exceptional conformality for a plasma process[160], and shows good Li blocking Figure 5.3: (a) Anode capacity (solid) and CE (dashed) versus cycle number for 200 cycles at a constant current of 100 µA/cm2. (b-d) Several cell voltage profiles during galvanostatic cycling of (b) SnO2, (c) SnOxNy, and (d) SnNy half cells, where thick black curves are the first discharge and thin curves are subsequent charge/discharge cycles as labeled. 117 behavior with respect to both cathodes and anodes. For our 3D TSSBs, we will used a thermal RuEtCp2 + O2 Ru ALD process for the cathode current collector (selected over Pt primarily for cost reasons and excellent conformality), and a plasma ALD process to deposit TiN as the conformal current collector on the anode side. 118 5.3 Solid-State Half Cell Characterization Figure 5.4 characterizes the electrochemical performance and initial composition of thin films of the LiV2O5 cathode (70 nm) and the SnNx anode (25 nm), Figure 5.4: Electrochemical and XPS characterization of thin film LiV2O5 and SnNx electrodes. (a) Cyclic voltammetry at different scan rates of planar solid state half cells. Electrodes were tested in the two-electrode configuration Pt/electrode material/80nm LPZ/3000nm Li, using 25nm SnNx or 70nm LiV2O5. The first-cycle conversion reaction for SnNx is indicated by (*). (b) Log-log plot of the peak oxidative current as a function of scan rate for the half-cells. Data are fit to a power law. (c) High-resolution XPS scan of Sn 3d region of as- deposited SnNx, indicating the initial oxidized state of Sn. (d) High-resolution XPS scan of the V 2p region of as-made LiV2O5 with fitting, indicating the presence of V4+ as expected for the discharged state. (e,f) Galvansostatic rate performance of solid state half-cells with a configuration identical to those in (a), tested with current densities between 20 and 500 μA/cm2 for SnNx and between 10 and 5000 μA/cm2 for LiV2O5. 119 tested in an all-solid-state half-cell configuration via coating with approx. 80nm of ALD lithium polyphosphazene (LPZ) as the solid electrolyte followed by thermal evaporation of 3 μm of metallic Li as the anode. This configuration allows for the individual determination of the capacity, kinetics, and electrochemical potential of the electrode films, as the Li anode acts as an infinite Li source and a relatively reliable pseudoreference electrode even in a two-electrode configuration. We have previously shown the ALD LPZ/Li interface to be stable.[149] Figure 2a shows cyclic voltammetry (CV) of the anode and cathode films at scan rates between 0.5 and 10 mV/s plotted on a single axis referenced to Li/Li+ at 0V. Both half-cells show repeatable anodic and cathodic processes associated with the storage of Li ions, indicating that the LPZ ALD process is chemically compatible with each material. SnNx: Similar to other conversion-type nitrides, the SnNx film undergoes a first-cycle conversion reaction, indicated by the sharp asymmetric peak located between 0.9 and 0.8V vs. Li/Li+ (Figure 1a, left), of the general form SnN + 3 Li + 3 e → LiPN + Sn` X-ray photoelectron spectroscopy (XPS) of the Sn 3d j=5/2 core level at 486 eV in as- grown SnNx films (Figure 5.4c) suggests the initial average valence state of Sn is close to +4, leading to an irreversible capacity loss associated with reduction of Sn(IV) to Sn(0).[161] Following the formation of tin nanocrystals embedded in a Li3N matrix, Li begins to directly alloy with Sn, forming a series of metallic Li-Sn compounds. We limit the lower potential of the SnNx films to 0.4 V vs. Li, which should in principle correspond to the formation of the LiSn phase.[162] Further lithiating the SnNx films lead to occasional cell failure through the formation of soft electrical shorts, the origin 120 of which remains under investigation. On the reverse scan the Li dealloying process occurs in a single peak located at approx. 0.9 V vs. Li/Li+ which helps to maintain a higher discharge voltage when utilized as the anode in a full cell. LiV2O5: Cyclic voltammetry of the LiV2O5 cathode films (Figure 5.4a, right) between 2.4 and 3.6V vs. Li/Li+ reveals the its characteristic doublet, removing or adding 1 Li per formula unit in two steps of approximately 0.5 Li per peak at 3.4 V (ε – α transition) and 3.2 V (δ – ε transition).[47] Importantly for use in a Li-ion configuration, the initial charging sweep also reveals these characteristic peaks, indicating the lithium inserted during the prelithiation process is fully active after cell fabrication. Component analysis of XPS of the V 2p j = 5/2 core level of the as-made LiV2O5 (Figure 2d) shows an equal population of V5+ and V4+, confirming the successful formation of the desired phase. Analysis and Kinetics: Combining information from the anode and cathode half-cells affords important predictions about the full cell. Based on the position of the delithation peak of the anode and the lithiation peaks of the cathode, an average capacity-matched LiV2O5/SnNx full cell discharge potential can be estimated to be approximately 2.3V. In addition, we are able to decouple anode and cathode kinetics and identify the rate limiting step in a full cell, under the assumption that the Li/Li+ couple at the Li/LPZ interface is facile. Figure 1b plots the peak oxidative current _E as a function of CV scan rate υ for both materials. It is well known that reducing the thickness k of battery electrode materials enhances rate performance through several mechanisms, including a simple reduction in characteristic diffusion time ? ∝ k /B∗ as well as the increased prominence of surface and near-surface pseudocapacitive 121 charge storage mechanisms. Fitting _E to the power law _ENVQ = >VW provides insight into the nature of charge storage in thin films, with ¹ = 1 corresponding to purely capacitive storage, ¹ = 0.5 corresponding to diffusively-limited charge storage, and intermediate values corresponding to a combination of these effects.[47], [163] We find ¹ = 0.66 for the LiV2O5 film and ¹ = 0.83 for the SnNx film after conversion, indicating a considerable contribution of non-diffusion limited storage in the anode in particular and that transport in a full cell utilizing this electrode pair will be rate-limited by diffusion in the cathode. Galvanostatic rate testing, shown in Figure 5.4e and 5.4f, supports the preceding observations. The SnNx anode half-cells maintain 60% of their capacity between 20 and 500 μA/cm2 cell current compared with 37% retention for LiV2O5 in the same interval. While some of this difference can be attributed to the fact that the tested anode film is thinner than the cathode (25 nm vs. 70 nm), we note that at the same current density, the capacity of the SnNx film with a 0.4V cutoff potential (300 μAh/cm2·μm @ 20 μA/cm2) is dramatically higher than LiV2O5 (38.6 μAh/cm2·μm @ 20 μA/cm2). Thus, in a capacity-matched full cell, the anode film will always be ~8x thinner, and comparing the rate performance of thin anodes to thicker cathodes is a 122 device-relevant regime. Further decreasing the testing current for LiV2O5 to 10 μA/cm2 yields a discharge capacity of 44.4 μAh/cm2·μm, which is 90.6% of the theoretical capacity. 5.4 Simulation of Planar vs. 3D Performance Scaling In this section, we briefly develop a one dimensional finite-element simulation of the LiV2O5 cathode coupled with the ALD LPZ electrolyte in order to illustrate trends in performance scaling, given that diffusion in the cathode is the rate limiting process. We model Li transport in the LPZ electrolyte using the Nernst-Planck equation based on the work by Danilov et al., and charge transfer at the electrode/electrolyte interface with Butler- Volmer kinetics.[13], [39] Lithium transport in the cathode film is modelled using Fick’s law \º\0 = \\ »B∗N , Š89Q \º\ ¼ where the Li chemical diffusion coefficient B∗ can in principle have a positional and concentration dependence. Full details of the model can be Figure 5.5: Simulation of discharge performance of LiV2O5 cathode thin films. (a) Results of optimized simulation of the galvanostatic discharge curves of a 70nm thin film LiV2O5 electrode, which can be compared to the experimental results in Figure 1f. The inset plots the predicted discharge capacity of the model vs. experiment. (b) Simulation of discharge capacity vs. current density for planar films of thickness 70nm, 280nm, and 700nm. (c) Simulation of the discharge capacity vs. current density for 3D cathodes of thickness 70nm but with area enhancement factors (AEFs) of 1, 4, and 10. All current densities are normalized to the battery footprint area. 123 found in Appendix A. Figure 5.5a shows simulated discharge curves for a 70nm LiV2O5 film at the same current densities tested in Figure 2f. The optimized model adequately captures the both the experimental overpotentials and trend in discharge capacity (plotted in the inset). The model confirms that the primary cause of the decreasing discharge capacity with increasing current density is the development of a severe Li concentration gradient in the LiV2O5 film, which causes the cell to reach the cutoff voltage before the full volume of active material is utilized. Two ways to increase battery capacity per areal footprint are (1) increase the thickness ž of the capacity-limiting electrode in a planar configuration or (2) increase the internal surface area of the battery, and hence the material loading per footprint, while maintaining an optimal local electrode thickness and full self-alignment (Figure 1). The advantage of a 3D architecture in the context of footprint-limited applications can be described by the “area enhancement factor” AEF = >/>J, where >J is the footprint area of the battery on the substrate and > is the true total internal surface area. Trivially, a planar battery has an AEF of 1. Here we note that for the simulation results, as well as for all experimental results, reported applied current densities _J are normalized in terms of >J rather than >, which is the more practically-relevant metric. Figure 5.5b shows the simulation results for increasing the thickness of the LiV2O5 by factors of 4 and 10. At the lowest current density (1 μA/cm2), the discharge capacity still reaches the theoretical capacity even for the 700nm thick electrode. However, performance gains from increasing the electrode thickness are rapidly lost at higher current densities, to the point that there is effectively no improvement in deliverable energy at currents above 100 μA/cm2. The fundamental reason for this is 124 that the characteristic time for a given Li flux (i.e. current density) required to reach the Li concentration corresponding to the cutoff potential at the electrode/electrolyte interface is independent of the electrode thickness, and only a thin section of a thick electrode is utilized at high currents. As a consequence, increasing the thickness of planar solid state battery electrodes results in rapidly diminishing returns. In Figure 5.5c, the same galvanostatic current range is simulated for batteries with increasing values for the AEF but with a constant LiV2O5 thickness. The theoretical capacity per device footprint is equivalent to the devices in Figure 2b. The simulation results demonstrate that 3D structuring results in a dramatic improvement in capacity retention as a function of applied current. This is due not only to the fact that the cathode thickness is locally always 70 nm, and therefore not increasingly diffusion limited as the areal material loading increases, but also because the local current density ¿ is reduced to ¿ÀÁÂÃ, leading to proportional reductions in the Ohmic, charge transfer, and concentration overpotentials as the AEF increases. As a result, the AEF 10 battery maintains its theoretical discharge capacity at currents up to 100 μA/cm2 while the AEF 1 device is already losing capacity at 20 μA/cm2. This simultaneous improvement of discharge capacity and rate performance is the hallmark of a successfully fabricated 3D battery. 125 5.5 All-ALD Full Cells: Electrochemistry and 3D Structuring 5.5.1 Fabrication Strategy 126 Integrating a conformal anode and current collector into top-isolated, testable and individual full cells proved challenging due to the conformality of ALD. While tests of the LPZ alone in half-cells using an evaporated Si or Li anode were simple due to the compatibility of evaporation with shadow-mask lithography, full cells required more sophisticated patterning of the anode and anode current collector so that individual cells were not electrically shorted to each other or to the cathode current collector via backside contact. ALD is generally not compatible with photoresist due to the high deposition temperatures. This difficulty applies even to planar all-ALD TSSBs. We first attempted an additive patterning process using a “clamped” shadow Figure 5.6: Unsuccessful strategy for ALD patterning using a laser-cut stainless steel “shadow mask” clamped to a 3D battery substrate. (a) schematic of setup showing the micron-scale surface roughness of the polished steel (b) schematic of ALD precursor diffusion between the mask and substrate, resulting in the extensive growth in between individual pads shown in the photograph in (c). 127 mask, which was fabricated out of mirror-polished stainless steel by forming an array of 1mm diameter holes cut out via electro-discharge machining (EDM). This approach was unsuccessful despite several attempts as the roughness of the stainless steel masks ensured a significant amount of uncontrolled growth in micron-scale gaps between the mask and substrate (Figure 5.6). Figure 5.7: Fabrication and characterization of 3D solid state thin film batteries. (a-d) Schematic of fabrication of devices. (a) The silicon starting substrate. (b) Formation of cylindrical pore arrays via photolithographic patterning and deep reactive ion etching (DRIE) of Si. Pores are 3μm wide and either 12 (AEF 4) or 30 (AEF 10) μm in depth. (c) Blanket deposition of five active device layers via ALD, including electrochemical lithiation of the cathode as discussed in the text. (d) Deposition of Cu through a shadow mask to form 1mm diameter circular dual purpose etch mask/ needle probe contacts. (e) Isolation of individual batteries via Ar+ ion milling through anode current collector and anode films. (f) Battery testing through contact with top electrode and cathode current collector layers. (g) Optical photograph of finished battery “chip”. Each chip is dual sided, with 3D batteries on the left and planar batteries on the right. Optical iridescence from the 3D array causes the visible coloration. (h) Cross-sectional TEM image of an all-ALD solid state battery with 40nm Ru/70nm LiV2O5/50nm LPZ/ 10nm SnNx/ 25nm TiN. (i) Overview of ALD chemistry and process temperature for each layer visible in (h). 128 Our most successful strategy for fabricating and testing conformal TSSBs is schematically outlined in Figure 5.7a-f. With the electrode/electrolyte materials compatibility already established, the remaining challenge was to develop a procedure for depositing, isolating, and testing batteries grown via conformal deposition techniques. We first fabricate 3D structures by etching hexagonal arrays of cylindrical pores into a Si substrate using deep reactive ion etching (DRIE). This is performed by pattering the array into a photomask using standard photolithography, and then exposing the developed mask to a Bosch etching process in order to directionally etch Si microstructures using the remaining photoresist as an etch mask. The substrates are subsequently cleaned and thermally oxidized to generate a uniform, clean surface for ALD growth. The array has the following dimensions: pore diameter of 3μm, center-to-center spacing of 6μm, and depth of either 12 or 30μm. The AEF of a hexagonal array of cylindrical pores with diameter B, center-to-center spacing ‹, and depth ℎ can be found to be AEF = 1 + Ä√PP z2IÆ , leading to an expected AEF of 3.9 for the 12um pores and 9.7 for the 30um pores. For the purposes of labeling and due to some uncertainty in the exact surface area due to a scalloping effect from the DRIE, we refer to these structures as AEF 4 and AEF 10. The high conformality and deposition temperatures associated with ALD generally prevent the use of conventional photolithography or shadow-masking. To circumvent this, we first deposit all 5 battery layers (including the prelithation step) without patterning. After conformal fabrication of the battery stack, we utilize the shadow-masked PVD deposition of circular Cu etching masks with a 1mm diameter, 129 which also serve as robust electric contacts, followed by etching of the anode and anode current collector via Ar+ ion milling to isolate individual batteries. Each cell can then be tested via probe contact with an exposed area of the blanket Ru bottom-layer cathode current collector and a Cu pad. The cells are tested without further encapsulation in an Ar-filled glovebox. We fabricate dual-sided battery “chips”, shown in Figure 5.7g, with one side containing 3D cells and the other planar cells. This allows for every tested 3D configuration to be compared 1-to-1 with planar cells made from the same deposition runs, so that any differences in performance can be reliably attributed to the cell morphology alone. A typical all-ALD battery stack is shown in the TEM cross section in Figure 4h and the ALD chemistries used to deposit it are outlined in Figure 5.7i. In order of deposition, the battery is formed from 40 nm of Ru, 70nm of prelithiated V2O5 (LiV2O5), 50nm LPZ, 10nm SnNx, and 25 nm TiN, finally covered in a layer of electron-beam evaporated Cu. The entire synthesis process takes place at or below 250C. The ALD LPZ is able to form a conformal and pinhole-free layer at thicknesses as low as 40nm, leading to a 100% tested device yield for planar batteries in terms of electrical isolation between anode and cathode. The achievable level of downscaling of the solid electrolyte is of interest for decreasing both cell impedance and deposition time. We previously established in Chapter 4 that approx. 30 nm LPZ was the lower limit for operation of a LiCoO2/Si cell, and we observe similar trends for the LiV2O5/SnNx cells. The initial yield for 3D cells depends on the exact process conditions and aspect ratio, but required thicker LPZ films (>90 nm) to reach 100%. 3D cells made with very thin LPZ are much more sensitive to failure through the 130 development of space charge limited electronic conduction (SCLC), possibly as a result of field-enhancing corners and asperities produced during DRIE.[38], [39] The battery layers are fully conformal in pore structures with an aspect ratio of ~10, as indicated by SEM and energy dispersive spectroscopy (EDS) based characterization of the cross section of a cleaved AEF 10 chip (Figure 5.8). Figures 5.8a-b show SEM images of the battery stack at the top corner and bottom corner of one pore, which the locations highlighted in Figure 5c. This particular 3D cell was made using 40nm LPZ, visible as the dark layer in the film stack. SEM images of the top and bottom of the pores from a different area on the same device (Figure 5.9) show that the total thickness of the battery layer stack varies from approx. 228 nm at the top down to 211 nm at the bottom of the pores, indicating an only 7.4% nonunformity of Figure 5.8: Scanning electron microscopy (SEM) and energy dispersive spectroscopy (EDS) cross-sectional characterization of a working ALD full cell (40nm Ru/70nm LiV2O5/40nm LPZ/25nm SnNx/25nm TiN) grown into an AEF 10 structure. Data are taken from a battery chip cleaved along one row of holes. (a-b) SEM images of the top and bottom corners of a single cylindrical pore, shown in full length in (c). The battery layers are fully conformal down the length of the pore, including the LPZ electrolyte. (d-f) SEM-EDS line scans of the elemental concentration of P, Ru, Sn, Ti, and V from the top (d) middle (e) and bottom (f) of two pores. Peaks are associated with the increased effective sample depth at the pore walls. Each element is present throughout the depth of the pores. 131 the combined thicknesses of all 5 ALD processes. The visible uniformity of all 5 layers highlights the self-alignment property of ALD deposition, in contrast to previous attempts at 3D devices.[39] EDS line scans at the top, middle, and bottom of the pore, shown in Figure 5.8d-f, demonstrate the presence of a representative element of each of the 5 active layers throughout the pore, further supporting the conformality of the synthesis process. Figure 5.9: Cross-section SEM of the top and bottom of pores from the AEF 10 cell characterized in Figure 5.8, showing the preservation of the battery stack thickness down the length of the pore. 132 5.5.2 Electrochemistry of the LiV2O5-LPZ-SnNx Cell Before discussing the effects of 3D structuring on performance, we first discuss the electrochemical properties of the LiV2O5 – LPZ – SnNx system, which has not previously been characterized in the literature. The cells behave largely as expected based on the half-cell tests, indicating successful fabrication, though we observe an unexpected increase in cell impedance as well as an anomalous first-cycle charging capacity. Effect of Loading Ratio: We constructed multiple sets of 3D battery chips in an attempt to optimize the cathode/anode loading ratio, which was not obvious a priori due to an uncertain amount of irreversible first cycle capacity. We found that decreasing the thickness of the SnNx anode film from 25 nm (500 cycles) to 10 nm (200 cycles) with the LiV2O5 loading fixed at 70 nm significantly improved the average discharge voltage, presumably due to more utilization of the anode capacity, as shown by cyclic voltammetry in Figure 5.10. 133 Optimized Planar Cells: Figure 5.11 shows electrochemical data from the approximately capacity-matched cells, with 70nm LiV2O5 and 10nm SnNx. Figure 6a shows the first 3 cycles of cyclic voltammetry at 1 mV/s between 0.5 and 3.3V on a planar full cell. The overall characteristics, including peak locations and shapes, correspond well to a convolution of the half-cell data in Figure 5.11a. The prominent peak observable during the first charging sweep at 1.8V corresponds to the conversion reaction of the anode, and does not recur after the first cycle. In order to confirm this, we galvanostatically charged a cell to 3.3V before removing a lamella cross section Figure 5.10: Representative cyclic voltammetry from all-ALD full cells with different anode loadings. The cathode loading is fixed at 70 nm LiV2O5. Higher anode loadings result in a lower cell potential due to underutilization. 134 using FIB and characterizing the SnNx layer using TEM. Shown in the inset by direct TEM imaging and a fast Fourier transform of the highlighted region, we observe the production of 5-10nm crystallites embedded in an amorphous layer, consistent with the conversion reaction producing LiSn alloys outlined earlier as well as with other TEM examinations of Sn-based conversion materials.[164] After the conversion, the full cells display the characteristic doublet of LiV2O5, broadened due to convolution with the Li insertion/deinsertion peaks of the anode, with peaks corresponding to the ε – α and δ – ε transitions at 2.42 and 1.97 V. High rate galvanostatic testing between 50 and 2000 μA/cm2 (Figure 5.11b) and cycling at 50 μA/cm2 (Figure 5.11c) demonstrates that the full cell achieves good rate performance and is remarkably stable for 400 cycles given that it utilizes a conversion/alloying anode. The reversible capacity stabilizes after a few dozen cycles at approximately 2.6 μAh/cm2, which corresponds to 37 μAh/cm2·μm normalized to the cathode thickness. This value represents 75% of the theoretical capacity of the cathode as well as 53% of the theoretical capacity of the state-of-the-art sputtered LiCoO2/Li couple, even after the initial conversion reaction. The Columbic efficiency stabilizes at 99.7% and the observed capacity fade is 0.02%/cyc between cycles 50- 400, likely due to gradual Li loss through reactions with trace atmospheric species as the batteries are not encapsulated. We note that full-cell tests of capacity matched Li- ion cells are particularly stringent, as there is no tolerance for irreversible Li loss as there is in half cells using Li anodes in excess. 135 Surprisingly, the first-cycle charging capacity always significantly exceeds the theoretical capacity of LiV2O5. The first cycle capacity in Figure 5.11c is 49 μAh/cm2·μm, a 42% excess over the initial capacity of the cathode. This excess capacity is fortuitous as it does not appear to impede the operation of the full cell, and to some degree compensates for the first-cycle conversion reaction losses. We first considered whether the LPZ deposition chemistry was providing excess Li to the LiV2O5 cathode through direct lithiation by LiOtBu, as has been observed.[56] However, only an 11% excess was observed for the half-cell (Figure 5.4f), which Figure 5.11: Characterization and performance of all-ALD planar solid state cells. (a) The first three cycles of 1 mV/s cyclic voltammetry of the all-ALD chemistry between 0.5 and 3.3V. The inset shows cross-sectional TEM of a cell charged to 3.3V @ 50 uA/cm2. 5-10nm crystallites, indicated by the yellow outline and corresponding fast-fourier image transform (FFT), form in the SnNx layer after the first charge, supporting a conversion-type reaction mechanism. (b) Characteristic galvanostatic charge-discharge curves using current densities between 50 and 2000 μA/cm2. (c) Cycling data showing the charge capacity, discharge capacity, and Coulombic efficiency of 400 cycles at 50 μA/cm2. (d) Potentiostatic electrochemical impedance spectroscopy (PEIS) of the as-made all-ALD full cell compared to the impedance of the half-cells characterized in Figure 2. 136 should show a similar effect, and V 2p core level XPS taken of the LPZ/LiV2O5 interface after deposition of a few nm of LPZ shows no additional reduction in V valence state, which would be associated with extra Li insertion. While previous testing showed ALD LPZ to be electrochemically stable between 0.1 and 3.8V vs. Li/Li+ on a Pt electrode, we propose that the SnNx conversion reaction partially consumes adjacent electrolyte, which supplies the excess Li. The smaller excess in the half-cell could arise from different decomposition reactions with lithium metal. Potentiostatic impedance spectroscopy (PEIS) reveals that the full cell exhibits an internal impedance anomalously higher than expected based on half-cell testing. Figure 5.11d shows Nyquist plots of the impedance of a full planar cell (with 40 nm LPZ) before and after cycling, as well as the impedances of the half cells tested in Figure 5.4 (with 80 nm LPZ). The semicircle at high frequencies (lower left of graph) reflects the ionic conductivity of the electrolyte, which is determined via fitting the data with model shown. The model includes the electrolyte resistance R in parallel with a constant phase element Q, with an additional constant phase element to model the blocking response at lower frequencies. The first-cycle conversion reaction as well as cycling of the full cells does not increase the cell resistance relative to their initial state, supporting the high reversibility of the couple. However, the fit value of R in the full cell (172 Ω cm2) is significantly higher than that of the half cells (56 and 47 Ω cm2 for the cathode and anode cells respectively) despite using an electrolyte half as thick. The only differences in fabrication and processing for the full cells are the growth of the SnNx directly on the LPZ, as well as the use of ion milling. 137 5.5.3 Interface Chemistry of the LiV2O5-LPZ-SnNx Cell As ALD processes are known to sometimes induce substrate damage,[58] we examined both the LiV2O5/LPZ and LPZ/SnNx interfaces directly by growing a very thin overlayer and directly characterizing the interface chemistry with XPS. Both interfaces seem well-preserved, with only some alterations of the N chemistry within the LPZ observed at both interfaces. The origin of the additional impedance requires further investigation. Figure 5.12 outlines the results of an experiment to characterize the interface chemistry at the LiV2O5/LPZ interface. An electrochemically lithiated LiV2O5 thin film was characterized via XPS, transferred under UHV to an ALD reactor in which it was exposed to 30 cycles of ALD LPZ [LiOtBu + Diethyl phosphoramidate (DEPA)] at 250C. The sample was then transferred back into the spectrometer without breaking Figure 5.12: In-situ XPS characterization of the LiV2O5/LPZ interface. (a-e) High resolution component spectra of uncoated LiV2O5 taken after prelithiation. (f-j) High resolution spectra of the LiV2O5/LPZ interface following the application of 30 ALD LPZ cycles at 250C, resulting in the growth of ~1 nm of LPZ. The sample was transferred directly to the spectrometer from the ALD reactor under UHV conditions. 138 vacuum. The ALD process grew a film with nominal thickness of 1.8nm, though the actual thickness is likely less than this due to a nucleation period of a few cycles. Photoelectrons from the LiV2O5 film are able to penetrate through this thickness of overlayer. The primary features of the LiV2O5 surface include the presence of a slight solid-electrolyte interphase developed during electrochemical prelithiation, indicated by the presence of oxidized carbon species (Figure 5.12e). The O 1s peak (Figure S4a) shows a primary component at 530.2 eV associated with the oxide, as well as high binding energy shoulder associated with both the SEI and surface hydroxylation. The valence state of vanadium ions in the film can be determined by fitting the V 2p j=3/2 peak, which reveals an equal population of V4+ (516.2 eV) and V5+ (517.7 eV), as expected. There is a very small amount of organic nitrogen species initially present on the surface, whose origin is unknown. After ALD LPZ deposition, XPS detects the presence of highly oxidized P, as well as an increase in the amount of detected Li and N, as expected. The average oxidation state of LiV2O5 surprisingly increases slightly, as the magnitude of the V4+ component drops (Figure 5.12f). This rules out direct chemical lithiation of the LiV2O5 film via exposure to surface-adsorbed LiOtBu, in which case we would expect to see further reduction of the vanadium centers. We hypothesize that the extra oxidation at the surface could be due instead to DEPA directly reacting with Li ions in the underlying substrate during the formation of the first few monolayers of LPZ. The N 1s spectrum also suggests that the first few monolayers of LPZ differ chemically from “bulk” LPZ. The two characteristic peaks of ALD LPZ are detectable, 139 which include the component associated with doubly-linked N (-N=) at 396.8 eV and one associated with triply bonded N (-N<) at 398.5 eV. However, the intensity ratio of this pair differs considerably from the bulk, in which the (-N=) component dominates (Figure S5b). One possible interpretation is that the LPZ directly at the LiV2O5/LPZ interface is more disordered and/or oxidized due to interactions with both the cathode film and contaminant surface species. A similar effect has been previously observed for sputtered LiPON deposited on LCO, and may play an important role in understanding charge transfer resistance. [165] The increased impedance in all-ALD full cells (Figure 6d in the main text) led us to characterize the ALD LPZ/SnNx interface directly using the same general procedure. Figures 5.13a-e show high resolution XPS core level spectra from a LPZ film grown at 250C, whose components are analyzed in detail in a previous publication.[149] The typical stoichiometry of LPZ films grown at 250C is Li1.7PO2.1N (plus residual hydrocarbons). We were interested in observing whether or not exposure of this surface to the SnNx process precursors (TDMASn and a remote N2 plasma) resulted in detectable chemical decomposition of the LPZ which could explain the increase in cell impedance in the full cell vs. half-cells. 140 Panels f-j show the surface chemistry after 10 cycles of the SnNx ALD process at 200C. This results in the accumulation of only approx. 2 atomic % Sn by XPS quantification, and so the vast majority of photoelectron intensity measured for the O 1s, N 1s, and C 1s lines still originate from the LPZ. There are relatively few differences in the underlying LPZ surface chemistry, but a decrease in the intensity of the bridging oxygen component of the O 1s at 532.7eV (Figure 5.13f) and an increase in the triply bonded nitrogen component at 398.2 eV (Figure 5.13g) suggest a possible Figure 5.13: In-situ XPS characterization of the LPZ/SnNx interface. The Li 1s was omitted from this dataset as it did not shift or split in any way. (a-e) High resolution component spectra of pristine LPZ grown at 250C. (f-j) High resolution spectra of the LPZ/SnNx interface following the application of 10 ALD SnNx cycles at 200C, resulting in the growth of a maximum of ~0.5 nm of SnNx. The total exposure time to N2 plasma was 200s. (k-o) High resolution component spectra of thick (i.e. with no underlayer contribution) ALD SnNx grown at 200C. All samples were characterized without air exposure. 141 reorganization of the LPZ at the anode/electrolyte interface. However, there is no strong evidence to suggest that this is responsible for the increase in cell impedance observed, especially given that there are many examples of conductive LiPON-family films with N 1s spectra closely resembling that measured here. There is no evidence for either the oxidation or reduction of P. The binding energy of Sn atoms at the interface (486 eV) matches that of the “bulk” film exactly, suggesting as well that the TDMASn precursor does not interact with the LPZ substrate in any unexpected ways. In summary, XPS of the SnNx/LPZ interface indicates a few minor changes in the LPZ chemistry, but the electrolyte overall tolerates the overgrowth of the anode well. While this leaves the additional impedance unresolved (the next step is to carefully examine effects from ion milling such as local heating), it bodes well for the compatibility of LPZ with CVD or ALD of other anode materials in the future. 5.5.4 Integration and Performance Enhancement in 3D Cells Having successfully established a viable solid state battery from an electrochemistry and process chemistry standpoint, we turn to the concept for which conformal deposition is a unique enabler- 3D architectures. We successfully integrated the full cell into 3D substrates with AEF 4 and AEF 10, and found the footprint- normalized battery performance to be dramatically improved in terms of capacity, rate performance, and round-trip efficiency (RTE). These batteries represent the first example of operating, self-aligned solid state batteries grown by conformal (chemical) vapor phase deposition of any kind, and serve as a benchmark for future optimization. 142 Figure 5.14a shows 100 galvanostatic cycles at 100 μA/cm2 between 3.3 and 0.5V for a planar, an AEF 4, and an AEF 10 cell, with Figure 7b displaying the first and second charge/discharge curves from the same data. These cells were constructed with the standard 70nm LiV2O5/10nm SnNx loading using 100nm LPZ as the solid electrolyte During the initial cycles, the device performance meets the theoretical geometric enhancement. The measured capacity enhancement, shown by the horizontal arrows, of the first charge relative to the planar reference cell is 4.5x for the AEF 4 battery and is 10.8x for the AEF 10 battery, followed by 4x and 9.3x, respectively, for the first Figure 5.14: Electrochemical performance of 3D solid state batteries. (a) Cycling performance of AEF 1, 4, and 10 batteries galvanostatically cycled 100 times at 100 μA/cm2. (b) First and second charge and discharge profiles of AEF 1, 4, and 10 batteries. The arrows show the measured capacity enhancement of the AEF 4 and 10 devices relative to the AEF 1 (planar) battery, with the upper arrows showing the enhancement factors measured for the first charge and the lower arrows indicating those for the first discharge. The first-cycle CE is 64%, 58%, and 55%, respectively, for AEF 1, 4, and 10 cells. (c) Discharge capacity as a function of the applied current density for AEF 1, 4, and 10 batteries. Data were taken after a burn-in process, i.e. after the majority of the rapid capacity loss observable in the first 50 cycles in (a). (d) Cell voltage vs. normalized capacity (Q/Qmax) for AEF 1, 4 and 10 batteries cycled at 1 mA/cm2 after burn-in. The arrows indicate the measured overpotential η at Q/Qmax = 0.5. 143 discharge. This is direct evidence of (1) the uniformity of the battery layers within the 3D geometry as well as (2) the ability of the solid state electrolyte to provide full electrical isolation in 3D structures. Cross-sectional SEM images of the AEF 4 cell tested in Figure 5.14 are shown in Figure 5.15. However, we consistently observe a more rapid decay in capacity, especially for the first ~10 cycles, for 3D cells vs. planar cells. By the 100th cycle, the discharge capacity enhancement has declined to 2.6x for the AEF 4 cell and 7.3x for the AEF 10 cell. We note that there is one additional difference in device architecture other than the increased surface area in 3D cells- the Cu capping layer is no longer covering the full active area of the battery, as it is not conformal. Inside the pores, the topmost layer is primarily the TiN current collector (Figure 5.8). If the TiN layer is not acting as a perfect Li diffusion barrier, free Li can diffuse to the surface and irreversibly form reaction products with atmospheric reactants. This hypothesis is supported by the fact that the average discharge potential of the 3D full cells also declines with cycle number Figure 5.15: SEM characterization of an AEF 4 3D full cell with 100nm LPZ from the same deposition run which produced the film stack characterized in cells of differing AEF in Figure 7 of the main text. (a) Tilted view of the 3D array with full cell deposited (from a region without the Cu capping layer) (b) Close-in view of the film stack taken showing film thicknesses. 144 (Figure 5.16). A loss of active Li entirely out of the cell (rather than remaining irreversibly trapped inside the anode) would lead to a decline in cell voltage as a result of the average anode potential sliding upwards along the CV curves shown in Figure 2a. Direct Li entrapment in the TiN itself is unlikely, as this would also lead to significant capacity fade in the planar cells. Capacity loss due to a lack of high quality encapsulation in thin film SSBs is a well-known problem; future development must include better packaging for 3D geometries.[33], [166] The ultimate test of a 3D architecture is the ability to maintain deliverable capacity with applied current densities beyond the reach of planar architectures. Figure 5.14c plots the rate performance of the three tested geometries between 0.1 and 10 mA/cm2. In this current range, simulation predicts that improving cell performance Figure 5.16: A comparison of the discharge curves for the first and 100th cycles of the AEF 10 cell characterized in Figure 7 of the main text. The capacity is normalized to the measured discharge capacity at the cutoff potential Qmax to illustrate the decrease in discharge potential of approx. 0.2V, likely due to free lithium loss from the cell. Losing free lithium results in underutilization of the anode, causing its average potential to increase vs. Li/Li+. For both curves, two phase transitions (indicated by local maxima) associated with the two-step lithium insertion reaction of LiV2O5 can still be detected from the derivative dQ/dV, plotted in the inset. 145 through increasing the cathode thickness in a planar configuration is impossible (Figure 5.5c). In order to prevent convolution with early-cycle capacity fade as the rate was varied, these data were taken after a “burn in” process of multiple slow CV cycles in order to stabilize the capacity of the 3D cells. As can be seen, 3D structuring results in better capacity retention at higher current densities while simultaneously improving total discharge capacity. The planar cells are immediately polarized to the cutoff potential at currents above 2 mA/cm2, whereas the AEF 10 cell is still able to deliver a discharge capacity greater than the full capacity of the planar cells even when cycled at the exceptionally high current density of 10 mA/cm2. A comparison of Figure 5.13c and Figure 5.5b-c clearly demonstrates the experimental 3D cells are operating qualitatively, if not quantitatively, within the favorable scaling regime identified by simulation, with the primary deviation arising from the fact that the simulation assumes a Li anode and does not account for first-cycle irreversibility or subsequent capacity fade. 3D structuring also significantly improves the round-trip efficiency (RTE) of batteries cycled at moderate to high rates through the reduction of the internal current density, which reduces both Ohmic and charge transfer overpotentials. Conversion- type electrode materials commonly suffer from a low RTE.[167] Plotting a full cycle at 1 mA/cm2 for each of AEF 1, 4, and 10 batteries with the capacity Ç normalized to the achieved capacity at the cutoff potential of 0.5 V Ç3 (Figure 5.13d) reveals the progressive reduction in hysteresis. At the halfway point Ç/Ç3 = 0.5, the charge- discharge hysteresis overpotential η is reduced from 1.51V for AEF 1 to 0.71 V for 146 AEF 10, and the net RTE is improved from 45% to 64%. Finally, we note that as shown in Figure 5.16 the impedance of the 3D cells decreases as the AEF increases, as expected from the increase in surface area. 5.5 Discussion: Prospects for Architecture Scaling The planar and 3D batteries described in this chapter are promising from an electrochemistry standpoint, establish a path towards a new performance regime for solid state storage, and represent the highest powers tested for vanadium oxide-based Figure 5.16: Initial impedance of all-ALD full cells with AEFs of 1, 4, and 10. The inset shows a zoomed- in view of the origin. All cells are electronically blocking at low frequencies, and show a decrease in areal impedance when normalized to projected device area. 147 solid state cells. Here we briefly place the experimental results into context and explore future opportunities for performance improvement. Areal energy density vs. average areal power density (derived from galvanostatic tests in all cases) for a variety of architectures both experimental and simulated is plotted in Figure 5.18. The AEF 10 3D all-ALD battery is superior to both the planar LiV2O5 and all-ALD cells, as expected, as well as to literature references for vanadium oxide-based TSSBs. Data derived from a 600nm V2O5/LiPON/Li TSSB described by Navone et al. demonstrate[168] clearly the benefit of 3D structuring; a rough extrapolation of the rate performance of the cell shows that it would, at best, perform similarly to the planar all- ALD device at higher power densities despite the ~8.5x thicker cathode. The planar all- ALD cell also performs at least as well as the best-characterized example of a V2O5 lithium-ion TSSB, which used a deeply lithiated vanadium oxide (LVO) film as the anode.[169] While this work represents a significant step forward in terms of 3D battery fabrication, we also wish to establish a path towards exceeding the best existing TSSBs in terms of absolute areal performance metrics. The absolute performance of these proof-of-concept designs cannot yet compete with the best examples of RF-sputtered planar SSBs using thick, highly crystalline LiCoO2 cathodes due to the low diffusivity of Li (È∗ ≈ É × Ê ÊÉcm2/s) in ALD-grown LiV2O5. The average È∗ for LiCoO2 can reach over Ê Ê cm2/s, leading to extraordinary power performance,[170], [171] although reaching this value requires high temperature annealing which can impede device integration or substrate compatibility. Experimental data from a 2500nm LiCoO2/LiPON/Li cell developed by Dudney et al. (Figure 5.18), which operates with 148 over 50% capacity retention at power densities of over 10 mW/cm2, provides a benchmark.[33] Straightforward methods of optimizing the 3D cells include increasing the AEF through etching higher aspect ratio structures and packing them more closely, as well as increasing the thickness of the cathode film and/or replacing the cathode with a different material entirely. Replacing the anode with Li or Si, which may be possible in specific lower AEF configurations with CVD or simple melt-impregnation, would also improve the cell voltage and reduce the first-cycle irreversibility. We include in Figure 5.18 simulations of two architectures which we argue represent reasonable upper bounds for ALD-grown 3D microbatteries. In principle, there is no limit to either the thickness of films grown by ALD or the aspect ratio in which they can be deposited. However, growing films more than a few hundred nm in thickness by ALD is likely impractical due to the slow rate of deposition, and because the precursor dose required for saturated growth scales as approximately the square of the aspect ratio,[172] batteries with an AEF of more than ~100 would be extremely challenging to fabricate. AEFs of ~50 for an ALD TiO2 half-cell have been recently demonstrated, so we use this value as an achievable goal.[151] The simulations assume the use of a Li anode for 149 simplicity; the use of SnNx as the anode would reduce the energy density by approximately ~2x based on the experimental results. Preliminary attempts to model the full cell including SnNx anode were unsuccessful because of the mentioned problem of anomalous capacity; assuming the first-cycle capacity loss measured in the half cell experiments vastly overestimates capacity loss in the full cell. The simulation results (Figure 5.18, topmost curves) indicate that an AEF 50 battery using a 300nm LiV2O5 cathode and a 100nm LPZ solid electrolyte would significantly exceed the energy density of existing LCO-based TSSBs and reach the Figure 5.18: Ragone plot of device performance for various TSSB configurations. Squares denote data from LiV2O5/Li cells, circles from LiV2O5/SnNx cells, and triangles from LiCoO2/Li cells. Solid symbols denote experimental data while outlined symbols (the topmost two curves) denote data from COMSOL simulations as described in the supplementary information. Data for the 600nm V2O5/Li cell is extracted from Ref. 49, data for the 100nm V2O5/LVO cell was estimated from ref. 50, and data for the 2500nm LCO/Li cell is extracted from Ref. 4. 150 ~mWh/cm2 range required to compete with existing Li-ion conventional batteries. However, LiV2O5-based cells are likely not capable of besting thick LCO-based planar TSSBs at power densities above 10 mW/cm2. Even at AEF 50, the difference in È∗ is too great. Truly mold-breaking batteries require replacing the LiV2O5 cathode with conformally-grown high quality LCO. Simulations of an AEF 50 battery with 300nm LCO, assuming a typical È∗ of 10-10 cm2/s, yield a solid state device on the verge of competing with conventional Li-ion cells in terms of energy density (3.9 mWh/cm2) and which can maintain 90% energy retention at a power density of 386 mW/cm2 (corresponding to an approximate C-rate of 110). Conformal deposition of high quality LCO may be possible through further optimization of an ALD process[66] or through electrodeposition,[173] and will be explored as a next step. In addition, some reports of well-crystallized V2O5 electrodes measure values for È∗ above 10-11 cm2/s (likely dependent on crystalline orientation), and so it may be possible to increase the performance of ALD-grown LiV2O5 with additional treatments or process modification.[95] The energy density of the all-ALD cells can also be significantly increased by more deeply prelithiating the ALD V2O5 to Li2V2O5, which preliminary experiments indicate is also a promising approach. 5.6 Chapter Conclusions 3D structuring of thin film solid state batteries is a promising method of producing high-performance, intrinsically safe energy storage devices with exceptional areal energy and power densities. For the first time, we have established a set of materials (a prelithiated LiV2O5 cathode, a SnNx anode, and a lithium polyphosphazene 151 solid electrolyte) which are mutually compatible, are grown in the active phase at temperatures ≤ 250C, and can be reliably made using conventional ALD deposition tools which are now common in industrial and university settings. 3D cells can be successfully fabricated through deposition in micromachined silicon substrates followed by masked etching, and full electrical isolation between anode and cathode can be achieved with solid electrolytes ≤ 100nm in thickness in structures with an AEF of up to 10. Solid state batteries made from the LiV2O5-SnNx couple exhibit stable capacities of 2.6 μAh/cm2, (37 μAh/cm2·μm normalized to the cathode thickness) for hundreds of cycles. The areal discharge capacity of these cells can be scaled up to 9.3x that of planar cells through integration with 3D substrates, though at present 3D cells suffer from additional anomalous capacity loss that should be addressed through better cell encapsulation. Most importantly, 3D structuring improved the rate performance and RTE of the cells while simultaneously increasing the areal capacity. This beneficial combination was measured in a range of current densities ( _J ≥ 100 μA/cm2) which was indicated by simulation to be a power regime in which such scaling was not possible for planar cells. Future development of 3D TSSBs can utilize a “mix-and-match” strategy for materials selection combined with the fabrication scheme developed in this work, though at the present time the ALD LPZ electrolyte is probably the best conformal inorganic electrolyte available. While the use of LiV2O5 may continue to be appropriate for integration with temperature-sensitive substrates such as polymer films, matching and exceeding the performance of conventional Li-ion cells will likely require its replacement with a cathode material with a higher chemical diffusion constant for Li, 152 such as LiCoO2. It would also be interesting to explore the integration of the conformal TSSBs described here with more extensively three-dimensional substrates, such as fabrics, fibers, conductive metal foams, which could form the basis of multifunctional energy-storing materials and composites. 153 Chapter VI: Conclusions and Future Work 6.1 Conclusions The work described as part of this thesis contributes substantial knowledge regarding electrochemistry, materials chemistry, fabrication, and power source design to the field of solid state energy storage. After work by our group and our collaborators indicated that the nano- or microscale architecture of nanofabricated batteries had a critical influence on performance, we developed a novel method of exploring the behavior of spatially distributed electrochemistry using test structures and spectroscopy. The simplicity of the structure allowed the problem to be reduced in complexity; eliminating the influence of ionic conductivity, for instance, and isolating the effect of electronic conductivity allowed for the instructive comparison of experimental data, an analytical theory, and simulation, finally revealing that the intrinsic materials chemistry of an electrode material (the increase in electronic conductivity concurrent with lithium insertion in V2O5) likely played a significant role in the measured reaction current distribution. This work also reinforced the concept that electrochemical cell behavior can become complicated (and from a performance perspective, suboptimal) whenever deviation from a 1-D diffusion problem occurs. We next proceeded with a necessary step in developing a 3D full cell solid state battery by developing and characterizing a new ALD solid state electrolyte (ALD LPZ). By utilizing a precursor containing a pre-formed P-N bond, we took advantage of 154 vapor-phase chemistry and formed a LiPON-family solid electrolyte film which exhibited attractive properties, including a relatively high ionic conductivity, negligible electronic conductivity, and long-term electrochemical stability in contact with Li metal. This process significantly reduced deposition time from our group’s previous ALD process for LiPON and removed the need for a plasma, increasing conformality. We demonstrated the construction of full cell TSSBs with an electrolyte only ~30nm in thickness, which is likely the thinnest solid electrolyte ever successfully integrated in a battery. Finally, we utilized ALD LPZ to make the first successfully fabricated all-ALD solid state battery. This full cell chemistry was conformal enough to be deposited in micromachined silicon substrates, which, when combined with a carefully developed fabrication and patterning strategy, allowed the construction of the first fully conformal, 3D full cell microbatteries. The 3D cells exhibited up to 9.3x areal energy density enhancement over planar cells while also increasing the areal power density. However, the 3D cells experience more rapid capacity fade and do not yet compete with the best commercially available planar TSSBs to the inferior materials properties of our ALD-grown V2O5 cathode. Now that we have established that ALD-grown electrolytes can perform reliably in full cells with device-relevant voltages and capacities and have established a patterning process for fabricating 3D full cells despite the challenges posed by conformal deposition, the door to improving the (areal) performance to record levels through further engineering appears open. 155 6.2 Future Work There are multiple promising avenues to pursue based on this work. The time spent on working towards the construction of 3D full cells, lengthened by false starts, failed depositions, and the occasional equipment failure, means that there are multiple interesting scientific loose ends to tie up. 1. The work measuring the RCD on test structures utilized XPS due to its accuracy and generality. However, in many cases battery materials exhibit a strong optical response when lithiated or delithiated (including V2O5, which is electrochromic). A natural extension of this work would then be to use similar test structures in an electrochemical setup (similar to those utilized in spectroelectrochemistry) where the RCD can be measured in near real-time, which would allow the measurement of very fast processes and the tracking of relaxation of concentration gradients once the cell was at rest. 2. The chemical nature of the LiOtBu-DEPA reaction remains unresolved, though we have proposed a general mechanism involving Li-promoted polymerization. We made a few attempts to measure gas-phase reaction products using an in-line mass spectrometer, but these experiments failed due to the small concentrations involved and were abandoned due to lack of bandwidth at the time. The LiOtBu-DEPA is likely a member of a special and promising class of ALD reactions which produce materials which lie on the boundary of inorganic and organic compounds, which is now being 156 explored in earnest by other groups using gas-phase deposition, and whose chemistry deserves further study. 3. ALD LPZ allows the construction of TSSBs with solid electrolytes only 30nm thick on crystalline LiCoO2 and LiV2O5 cathode films when combined with Si or SnNx anodes. While this attractive from a practical standpoint due to the reduction of internal resistance and fabrication time, it is arguable more interesting from a fundamental standpoint. Both tested cathodes are fairly rough, and so it is likely possible to use even thinner LPZ if deliberately chosen very smooth electrodes are utilized. If the LPZ thickness can be scaled down to the sub-20nm regime, it may be possible to study transport in a solid electrolyte where the electroneutrality condition is violated throughout a substantial fraction of its volume due to penetration of the electric double layer. This has never been measured in a solid state system as it has in the liquid state.[174] In addition, very thin cells tended to fail due to the onset of electrical conductivity. We did not establish the nature of this conductivity, but it would be interesting to study. For instance, in many cases voltage-dependent electrical leakage in insulators is space- charge controlled. How does space charge limited conduction work in very thin solid electrolytes? Does the presence of mobile positive ions change the picture, i.e. by shielding the space charge? 4. The 3D full cells are currently a proof-of-concept, and must be improved to be competitive with existing LiCoO2-based TSSBs, let alone conventional Li-ion in terms of areal energy performance. We provided simulation-based 157 evidence that this is possible if the LiV2O5 cathode is replace with a material with a larger Li diffusion coefficient. Recently, a group has demonstrated an electrodeposition process [173] for high-quality LiCoO2, which is also a conformal technique (though electrodeposition of insulators such as solid electrolytes is naturally quite a challenge). We have initiated a collaboration with this group in order to attempt integrating electrodeposited LCO into our 3D substrates, after which full cells will be completed with the usual LPZ/SnNx/TiN top stack, in order to make 3D cells which are not only scientifically interesting but also practically competitive. 158 Appendix A: Finite-Element Electrochemical Models Experimental data nearly always benefits from a computational supplement. The use of physics-based modelling allows for sanity checks of the collected data at the worst, and unexpected physical insights in the best case. In addition, validated models can be used to extrapolate device performance beyond certain experimental limitations. To these ends, we developed two different finite-element models used to simulate electrochemical phenomena as part of this thesis. One model was developed in support of Chapter 3, and includes a 2D simulation of lithium insertion in a high- aspect ratio cathode structure in a liquid electrolyte. The second is a 1D model of Li transport in a solid state lithium-ion battery stack. While the models share several similarities, we describe them separately below for clarity. The primary differences are (1) Model 1 is two dimensional and uses vectorial quantities whereas Model 2 is one dimensional and uses scalar values and (2) the transport physics in a liquid vs. solid electrolyte is treated differently. Both models are implemented in and solved numerically through COMSOL Multiphysics. COMSOL Multiphysics and Solution Methods COMSOL Multiphysics is a modular software package designed to handle finite-difference solution methods for complex coupled (“mulitphysics”) physical simulation problems. The simulations described below take advantage of pre-written physics from the “Diffusion of Dilute Species” and “Li-ion battery” modules combined with empirically measured discharge curves for the battery materials. The model 159 geometry in both cases was meshed with custom nodes near the interfaces to increase the model resolution. Model 1: High Aspect Ratio Nanostructure in a Liquid Electrolyte The geometry represented is a 2D cross section of the active region of the test electrodes discussed in Chapter 3 (shown below, not to scale). The physical thickness of the gold strip is neglected for simplicity in this model, and instead current is applied in a defined region at the bottom of the V2O5 region. The electrolyte region is meshed with a free triangular mesh, and the electrode region is meshed with a custom rectangular mesh, which increases in density near the edges of the current collector. The governing equations can be separated into the bulk transport physics in the electrolyte and V2O5 regions, and the coupling equations enforced at interfaces 1 (the Figure S4: Schematic of the COMSOL model’s geometry. Not to scale. 160 current collector/V2O5 boundary), 2 (the electrode/electrolyte interface) and 3 (the electrolye/anode interface). Mechanically speaking, these equations are implemented using the Lithium-Ion Battery and Transport of Dilute Species modules of COMSOL Multiphysics 5.1, along with a few custom conditions and added material data. We note that in COMSOL, the normal vector Ì (as used below) is conventionally positive in the “outward” direction of each domain. Electrolyte Domain: Transport in the electrolyte is described by concentrated solution theory.[99] One equation (S1) defines the current vector ¿8 and local reaction current density Ž89, a second (S2) describes the number flux of Li ions ÍÎ, and a third (S3) describes ion conservation: Ž89 = ∇ ∙ ÐÎ = ∇ ∙ Ñ−Ò∇φ& + 2Ò<„ N1 − k Q ˆ1 + Ô ln ÕÔ ln Š&‰ ∇ ln Š&Ö (S1) ÍÎ = −B&∇c& + ÐÎk (S2) Ԋ&Ôk + ∇ ∙ ÍÎ = 0 (S3) In the bulk of the electrolyte, Ž89 = 0. In addition, we neglect the activity dependence term in equation S1 due to low overall concentration variations under the simulated conditions, i.e. × ØÙ J× ØÙ Ú = 0. The initial conditions are set to c& = 1a uniformly. 161 Electrode (V2O5) Domain: Electrical transport in the electrode region is described by Ohm’s law, and the transport of intercalated Li ions is described through Fick’s laws for dilute species diffusion. In the bulk, this simply describes ion conservation. The electronic conductivity ŒI is assumed to be Li concentration-dependent (discussed further in Chapter 3). −ŒINcQ∇†I = Т (S4) ԊIÔk + ∇ ∙ N−BI∇cIQ = 0 (S5) Interface 1: Current Collector/V2O5 Coupling At the interface of the gold strip and the V2O5, the total current passing through the cell is set by the following boundary condition: w Т ∙ Û C*&/ &/ = −* ∙ [EE (S6) This allows us to set a fixed average current density but allow the normal current density to vary across the length of the boundary, which leads to more realistic results than forcing a uniform current density. The value [EE is found from the total current applied to the chip divided by the total area of the current collector buried under the electrode. A no-flux condition for Li ions is also enforced here. 162 Interface 2: Electrolyte/V2O5 Coupling At the electrolyte/V2O5 interface, the reaction current Ž89 is controlled through Butler- Volmer kinetics. This reaction removes Li ions from the electrolyte and adds an equal flux of Li ions into the electrode, controlled by the local difference in electric potential †g − †8. The equilibrium potential …NŠIQ of the insertion reaction changes as a function of the surface concentration of lithium ions and is measured experimentally. We assume a constant exchange current density _% , as testing showed variations in _% had a relatively small effect on the RCD but introduced some instability into the model. Ž89 = _% Ñ-ÜÝÞN}~}€Qf6 − -ÜßÞN}~}€Qf6 Ö (S7) Û ∙ Т = Ž89 (S8) Û ∙ ÐÎ = −Ž89 (S9) Û ∙ ÍÎ = − Ž89 N1 − k Q (S10) Interface 3: Electrolyte/Anode Coupling The electrolyte/anode interface is modeled as an ideal lithium anode using Butler- Volmer kinetics in the same form as Equations S7-S10, with the additional boundary condition that †g,89 = 0. This fixes the potential of the V2O5 electrode to be referenced to Li/Li+. 163 Table A1: 2D Reaction Current Distribution Model Variables and Parameters Quantity Dimension Value Description Source žŸ m 26 · 10-9 Cathode film thickness Exp. à s - Time - á m 0.0005 Width of current collector strip Exp. à C mol-1 96485 Faraday’s constant - â J mol-1 K-1 8.314 Gas constant - ã K 298 Temperature Exp.   mol m-3 3.32 · 104 Concentration of Li atoms in LPZ Calculated ä C 1.6 · 10-19 Elementary charge - ©å S cm-1 4 · 10-3 Initial electronic conductivity of V2O5 Exp. á mol m-3 - Concentration of Li ions in electrolyte - å mol m-3 - Concentration of Li ions in electrode - Èäá cm2 s-1 5.5 · 10-6 Chem. diffusion coeff. of Li ions in PC Ref. æ¿,æçèé mol m-3 18431 Max. conc. of Li in LiV2O5 Estimated ž - 0.28 Li transport number in LiClO4/PC Ref. ê - 0.5 Charge transfer coefficient for LVO Estimated ¿äë A cm-2 1.6 · 10-6 LVO exchange current density Ref. 7 ìá V - Electric potential in electrolyte - ìå V - Electric potential in electrode - í V - Electrode overpotential - Në, îQ m,m - Position - ïðññ A cm-2 - Average applied local current density - Ðò A - Electronic current vector Exp. óæ¿ A - Reaction current vector - 164 Model 2: A 1D Thin Film Solid State Battery A 1D time-dependent finite element model of several types of thin film lithium ion batteries was developed for use in predicting performance trends of 3D architectures as discussed in Chapter 5. Two slightly different models were developed for batteries using a LiV2O5 or LiCoO2 cathodes based on a blend of empirical and literature data. The models erred on the side of simplicity unless high-quality empirical or previously computationally optimized parameters were available. The model has three primary elements: (1) Li transport in the electrolyte (2) charge transfer at the electrode/electrolyte interface and (3) Li transport in the electrode. Transport in the electrolyte: Transport physics were based on the equations proposed and compared with experimental data for LiPON-based TSSBs in papers by Danilov et al.[13], [175] The movement of charged species is governed by the Nernst- Planck equation with electroneutrality: Ԋ9Ô? = ∇ ˆ−B9∗∇Š9 + d9<„ B9∗Š9∇†&‰ ô d9Š99 = 0 Figure 6: The simulated geometry. 165 where Š9 is the concentration, B9∗ is the chemical diffusion coefficient, and d9 is the electric charge of the _th species. is Faraday’s constant, < is the gas constant, ? is time, †& is the electric potential in the electrolyte, and „ is the temperature. The solid electrolyte is assumed to contain a fixed concentration of Li atoms Š`, of which a fixed fraction õ # 1 are ionized, mobile charge carriers in equilibrium through the reaction Li` ↔ Li + a where a is a compensating negatively charged species1 or defect, and which has forward and backward rate constants of ö\and öF, respectively. The net dissociation rate " is then " = ö\Š89÷ − öFŠ89øŠù which, when combined with the electroneutrality condition, leads to the relation ö\ = öFŠ`õ N1 − õQ We do not measure B89ø∗ directly, but instead calculate it from experimental measurements of the ALD LPZ ionic conductivity Œ and the molar concentration Š` of Li ions, calculated from the stoichiometry measured via XPS and density measured via x-ray reflectometry. The Nernst-Einstein relation links these quantities: B89ø∗ = ö„ŒŠ`õ- 1 It may be noticed that the model assumes the species a− to have a value for Bú−∗ comparable to that for B:_+∗ , which is physically somewhat surprising for a solid electrolyte. Despite previous studies finding good agreement between this model and experimental data using similar values for Bú∗ , it is not clear what species would meet this condition, considering that LiPON-family solid electrolytes are excellent electronic insulators and do not contain obvious candidates for mobile negatively charged ions. Altering the ratio Bú−∗ /B:_+∗ has relatively little impact on the simulated discharge curves, but has an enormous impact on the predictions of concentration gradients within the solid electrolyte. Unfortunately, methods of measuring such distributions in operando in thin film devices remain elusive. 166 where ö is the Boltzmann constant and - is the elementary charge. Charge transfer at electrode/electrolyte interfaces: For a given local cell current density _%&&, coupling of the Li ion fluxes at the boundary between the cathode and electrolyte is governed by Butler-Volmer kinetics as a function of the overpotential 1: _%&& = _ ` û-ÜÞü f6ý + -NÜQÞü f6ý þ 1 = †I − †& − $% _` = ö ûŠ89ø − Š89øŠ89þÜ ûNŠ89 − Š89QŠ89øþÜ where _` is the cathode exchange current density, Š89 is the concentration of Li in the cathode, Š89ø is the concentration of charge carriers in the electrolyte,  is the charge transfer coefficient, †I and †& are the electric potentials in the electrolyte and electrode, respectively, Š89 is the maximum Li concentration in the cathode, Š89ø is the maximum Li concentration in the electrolyte, and ö is the cathode reaction rate constant. Despite the kinetic theory prediction that _` depends on Š89, and therefore the state-of-charge, most experimental attempts to measure the charge transfer resistance find it to be relatively invariant (or, at most, following a weak trend incompatible with the function above).[176]–[178] For this reason, we make the approximation that _` = Š)ƒ‹k. The anode/electrolyte interface is treated similarly, with the additional condition that $% = 0 and †I = 0 to simulate a conductive, highly reversible Li metal anode. 167 $%NŠ89Q is an empirically measured function of Š89 and reflects the chemical potential of Li ions in the electrode at different states of charge during a quasistatic discharge. Shown below are the curves used for LiCoO2 and LiV2O5: Transport in the electrodes: Movement of Li ions in the cathode films is modelled in one dimension using Fick’s law: CŠ89C? = CC ÑB89∗ N , Š89Q CŠ89C Ö where in principle B89∗ can depending on position and concentration. In general, B89∗ is not constant as the composition of a battery material changes, but good agreement with experimental data can nonetheless be attained with constant average values. Electronic transport is neglected due to the high electronic conductivity (compared to the ionic conductivity) of both LiCoO2 and LiV2O5. For LiV2O5, we were unable to achieve satisfactory agreement between the model and experimental discharge curves using a constant B89∗ because of consistent over-performance at high current densities (i.e. the model would predict a lower-than- 0.5 0.6 0.7 0.8 0.9 1.0 1.1 3.0 3.2 3.4 3.6 3.8 4.0 4.2 4.4 P o te n ti a l v s . L i/ L i+ ( V ) x in Li x CoO2 0.0 0.2 0.4 0.6 0.8 1.0 2.4 2.6 2.8 3.0 3.2 3.4 3.6 3.8 P o te n ti a l v s . L i/ L i+ ( V ) x in Li x V2O5 Figure 7: Equilibrium discharge curves for LiCoO2 and LiV2O5 used in the 1D model. 168 measured capacity). Attempts to include concentration dependence were not successful. Instead, we achieved best agreement by including a small spatial dependence to B89∗ where the 10% of the film adjacent to the electrode/electrode interface has a higher diffusivity: B89,8uv∗ N Q = 10 ∙ B89,8uv∗ , 0 ≤ # k/10B89,8uv∗ , k/10 ≤ ≤ k where is the position in the electrode with = 0 representing the LiV2O5/LPZ interface and = k representing the external boundary of the electrode. There are two reasonable physical interpretations of this effect. The first is that such a function is an ad-hoc method of modelling pseudocapacitance, i.e. fast faradaic charge transfer restricted to near the electrode/electrolyte interface, which has been characterized in V2O5 previously[179] and is supported by the measured presence of some non- diffusion limited charge storage in the LiV2O5 half cell (Figure 2b in the main text). The second possibility is that this represents a 1D approximation of 2D crystalline heterogeneity in the LiV2O5 film itself. For instance, if ~10% of the LiV2O5 crystal grains had a more favorable orientation (i.e. planes with a higher Li diffusivity for Li in direct contact with the LPZ), we would observe an overperformance at high current densities, as only those properly oriented grains would be active. [178] The value of B89∗ for LCO is taken as a simple constant estimated from typical literature values.[171] Electrolyte/Anode Coupling 169 The model makes similar assumptions about the anode as is done in Model 1. We do not explicitly simulate the tin nitride anodes, as currently implemented in the real device, due to uncertainty about how to property handle conversion reactions. Experimentally, the use of tin nitride reduces the energy density by approximately 2x and does not have a dramatic effect on the rate performance. As before, the model assumes ideal behavior and we set †IN = k´Q = 0. This fixes the potential of the cathode to be referenced to Li/Li+. Simulating the effect of 3D structuring: In order to model 3D architectures with a given >$ using a 1D model, we assume that the current density within the 3D cells is fully homogeneous across the entire surface area of the battery due to the relatively high electronic conductivity of the conformal TiN anode current collector. In this case, the local current density _%&& relative to the applied footprint current density _J is simply _%&& = _J>$ The expected areal capacity ÇJ of the modelled 3D cell is then found by multiplying the output capacity of the 1D model Ç%&& at the cutoff potential by the >$ : ÇJ = >$ ∙ Ç%&& The stop condition of the simulation is †IN = 0Q ≤ , where  is the cell cutoff voltage. 170 Table A2: 1D Model Variables and Parameters Quantity Dimension Value Description Source žÁ m 100 · 10-9 Anode thickness Exp. žÂ m 100 · 10-9 Electrolyte thickness Exp. ž m varies Cathode thickness Exp. à s - Time - à C mol-1 96485 Faraday’s constant - â J mol-1 K-1 8.314 Gas constant - ã K 298 Temperature Exp. æ¿  mol m-3 - Concentration of neutral Li atoms in LPZ - æ¿ø mol m-3 - Concentration of mobile Li ions in LPZ - ðù mol m-3 - Concentration of counter charges in LPZ -  s-1 1.49 · 10-5 Dissociation rate constant in LPZ Calculated  m3 mol-1 s-1 9 · 10-7 Recombination rate constant in LPZ Ref. 4   mol m-3 3.32 · 104 Concentration of Li atoms in LPZ Calculated - 0.2 Fraction of total Li ions mobile in eq. in LPZ Ref. 4 ä C 1.6 · 10-19 Elementary charge - © S cm-1 6.6 · 10-7 Ionic conductivity of LPZ Exp. æ¿ mol m-3 - Concentration of Li ions in electrode - Èæ¿ø∗ cm2 s-1 2.74 · 10- 11 Chem. diffusion coeff. of Li ions in LPZ Calculated Èðù∗ cm2 s-1 5.1 · 10-11 Chem. diffusion coeff. of counter charges in LPZ Ref. 4 Èæ¿,æçè∗ cm2 s-1 3 · 10-13 Chem. diffusion coeff. of Li ions in LiV2O5 Optimized Èæ¿,æŸè∗ cm2 s-1 1 · 10-10 Chem. diffusion coeff. of Li ions in LCO Ref. 10 æ¿,æçèé mol m-3 18431 Max. conc. of Li in LiV2O5 Estimated æ¿,æŸèé mol m-3 50000 Max. conc. of Li in LCO Estimated ê - 0.5 Charge transfer coefficient for LCO and LVO Estimated ¿ æŸè A cm-2 4.89 · 10-4 LCO exchange current density Ref. 6 ¿ æçè A cm-2 1.3 · 10-4 LVO exchange current density Ref. 7 ìá V - Electric potential in electrolyte - ìå V - Electric potential in electrode - í V - Electrode overpotential - ë m - Position - ¿äáá A cm-2 - Applied local current density - 171 Appendix B: Experimental Details ALD LPZ ALD Growth All ALD processes were performed in a custom Cambridge Nanotech Fiji F100 ALD reactor directly coupled to an ultrahigh vacuum cluster tool. A schematic of the cluster tool is shown in Figure S1. All processes used UHP (99.999%) Ar as the process gas, typically achieving a background pressure of ~200 mTorr during deposition. Depositions of Li2PO2N utilized LiOC(CH3)3 referred to as lithium tert-butoxide or LiOtBu, (Sigma) and H2NPO(OC2H5)2, referred to as diethyl phosphoramidate or DEPA (Sigma). Both materials are solids at room temperature. LiOtBu was stored in a stainless steel bubbler, heated to 140C, and delivered to the reactor by co-flowing 15 sccm of Ar. LiOtBu decomposes at approximately 320C.[124] The LiOtBu delivery lines include VCR particle filters to prevent fine particles of precursor from reaching the chamber, which was an issue for early devices. DEPA did not require bubbling and was stored in a conventional stainless steel ALD cylinder heated to 115C. Unless otherwise specified, the pulse and purge times used for depositions in this work were 20s-LiOtBu, 20s-purge, 2s-DEPA, 20s-purge. Some samples utilized an “exposure” process in which a butterfly valve shut off all active pumping to the ALD chamber during precursor exposure to allow for better conformality. The timing of this process was 10s-LiOtBu (10s exposure), 30s-purge, 2s-DEPA (10s exposure), 20s-purge, and exhibited very similar growth characteristics to the conventional process. 172 In-situ Ellipsometry In-situ ellipsometry was taken using a J.A. Woollam M-2000 spectroscopic ellipsometer. The source and collector heads were mounted to quartz windows on the ALD reactor at a fixed angle. All optical models were applied to a spectral range of λ = 300-1000 nm. The deposited films were optically modelled as transparent insulators using the Cauchy approximation ƒN^Q = > + G^ + o^T where ƒ in the index of refraction, ^ is the wavelength of light, and A, B, and C are fitting constants.[180] Consistent with previous reports for LiPON, the SE data for the films were well fitted with > ≈ 1.7, and B and C ≈ 0, indicative of a nearly constant index of refraction over the measured bandwidth.[121], [181] We also assume öN^Q = 0, where ö is the absorption coefficient. The optical model was externally verified via comparison with x-ray reflectivity (XRR) measurements and SEM/FIB cross sections of various reference samples, and all thickness measurements agreed to within 5%. XPS Analysis Samples were immediately transferred under ultrahigh vacuum from the ALD chamber to a customized Kratos Ultra DLD x-ray photoelectron spectrometer with a base pressure of 2 × 10-9 torr. This preserves the surface chemistry of air-reactive Li compounds and allows for accurate stoichiometric quantification. All XPS data was collected using monochromatic Al Kα radiation (1486.7 eV) at a total power of 144W. The analysis spot size was approximately 0.2 mm2. Survey and high-resolution spectra 173 were collected using 160 eV and 20 eV pass energies, respectively. Samples were not observed to change over time in the vacuum environment. CasaXPS was utilized for peak fitting (using 50/50 Gaussian/Lorentzian pseudo-Voigt functions) and data analysis. High resolution peak area ratios were used for elemental quantification, using tabulated Kratos relative sensitivity factors (Scofield cross sections corrected for the instrument transmission function and source-analyzer angle). All spectra were calibrated to the C 1s hydrocarbon peak at 284.8 eV, though this assignment has associated uncertainty as the hydrocarbons in this case are embedded fragments and not adsorbed species. Depth profiles were performed using a Kratos Gas Cluster Ion Source (GCIS) on a Kratos AXIS Supra spectrometer for sample sputtering using Arn+ cluster ions, which proved superior to monoatomic Ar sputtering sources for best preserving the stoichiometry of LPZ films. Microscopy and Characterization Scanning electron microscopy (SEM) and focused ion beam (FIB) work was performed using a Tescan GAIA dual SEM/FIB system, which includes an attached TOF-SIMS detector used for the detection of Li during depth profiling with the Ga+ ion beam. Transmission electron microscopy work was performed using a JEM 2100 FEG TEM. The ALD LPZ was found to be highly sensitive to beam damage in the TEM and exposures were kept as short as possible. All imaged battery samples, including the TEM lamella, were exposed to air for several minutes during transfer from system to system. Tapping-mode AFM was performed using a NT-MDT NTEGRA Specta and XRD was checked using 1000 cycle films deposited on Au using a Bruker C2 Discover. 174 Device Fabrication Multiple architectures were utilized in this study. In-situ ALD growth was characterized on RCA-cleaned Si test wafers. Devices were fabricated on diced thermally oxidized Si wafers. Metal depositions for current collectors and MIM electrodes (including Pt and Au) were performed using electron-beam physical vapor deposition (EBPVD), utilizing a 5nm Ti or Cr adhesion layer for the bottom electrode. LiCoO2 electrodes were fabricated by RF sputter deposition of a LiCo target under flow of Ar and O2 in a 3:1 ratio, and were annealed at 700C. LiV2O5 electrodes were fabricated by first growing V2O5 in a Beneq TFS 500 ALD reactor at 170C using vanadium triisopropoxide (VTOP) and O3 and subsequently electrochemically lithiating the films to a potential of 2.8V vs. Li/Li+ in a 0.5M LiClO4/propylene carbonate electrolyte using a Li metal counterelectrode. Excess electrolyte was rinsed off using ethanol, and the composition was verified using XPS. To form an electrical contact, one corner of each device was masked during both cathode deposition and Li2PO2N deposition by physically clamping a piece of a silicon wafer to the surface. Top electrodes were deposited through a stainless steel shadow mask which defined a grid of 1mm diameter circular pads, which determined the active device area. Li top electrodes were deposited using thermal evaporation of Li metal pieces (Sigma) in a vacuum chamber directly connected to a Ar-filled glove box. Si/Cu top contacts were deposited in one process without breaking vacuum using EBPVD at a pressure of 3 × 10-6 torr, but these samples were air exposed for several minutes after electrolyte growth for transport to the deposition tool. Flexible devices were fabricated on cut pieces of metallized polyimide sheet, using evaporated Au with a Cr adhesion layer for a bottom electrode. 175 Electrochemical Characterization Fabricated devices were tested in an Ar-filled glovebox with <0.1 ppm H2O and O2 using a homebuilt microprobe setup. The sample is clipped to a stage with an integrated PID temperature control unit and a metal clip is used to contact the bottom electrode. The top electrode is contacted via an Au-coated needle probe mounted to a micromanipulator. Both electrodes are then connected to a Biologic VSP potentiostat with an electrochemical impedance spectroscopy channel using a coaxial cables and BNC feedthrough. Unless otherwise specified, measurements were taken at ambient temperature (typically 27C). PEIS measurements were taken between 1MHz and 0.1 Hz with an excitation amplitude of 50mV. 3D Battery Fabrication Device Fabrication All samples were fabricated using Si test wafers as a starting material. The device footprint of all tested electrochemical devices (half cells, full cells, and 3D cells) was defined by a 1mm diameter circular contact pad. Planar half-cell devices were constructed from diced Si wafers coated with a 70nm Pt current collector deposited via electron-beam deposition with a 5nm Ti adhesion layer. For half cells 3μm thick Li metal electrodes were deposited using thermal evaporation through a stainless steel shadow mask in a homebuilt vacuum evaporator coupled to an Ar filled glovebox. 3D substrates were fabricated via the formation of etch masks via standard photolithographic patterning followed by deep reactive ion etching (DRIE) using a Bosch process in an STS etching system. Etched wafers were RCA-cleaned and subsequently thermally oxidized in a Tystar CVD system to form a 200nm SiO2 layer, 176 serving as a Li diffusion barrier and pristine surface for ALD growth. After ALD deposition/electrochemical formation of the 5 active layers, individual batteries were defined by depositing via electron beam deposition 1μm of Cu through a shadow mask, acting as a probe contact and etch mask. After Cu deposition, low energy Ar+ ion milling with SIMS-based endpoint detection (4Wave Systems) was used to etch the TiN and SnNx layers, which electrically isolated each top contact. Samples are briefly air-exposed after the formation of the cathode layer, but further synthesis and characterization is performed entirely in vacuum or Ar environments. Active Layer Formation Ruthenium metal was grown in a homebuilt tube-furnace type reactor using Ru(EtCp)2 and O2 at 250˚C. Crystalline V2O5 was grown in a Beneq TFS 500 ALD reactor using vanadium triisopropoxide (VTOP) and O3 at 170˚C using an optimized variant of a previously described process.[68] After V2O5 deposition, LiV2O5 was formed via galvanostatic electrochemical insertion of Li in a 0.25M LiClO4/propylene carbonate (PC) electrolyte with a Li metal counter electrode at a C/3 rate, with a cutoff of 2.8V vs. Li. Excess electrolyte/salt was removed by briefly soaking the sample in pure PC and rinsing with isopropanol. The lithium polyphosphazene (LPZ) solid electrolyte was grown at 0.6Å/cyc in a Fiji F200 ALD reactor using lithium tert- butoxide and diethyl phosphoramidate as reactants at 250˚C.[149] The LPZ thickness ranged from 40 – 100 nm for various devices. For 3D substrates, an exposure process was used in which a butterfly valve shut off active pumping to the chamber during precursor pulses to ensure full conformality. Following LPZ deposition, the samples were transferred without air exposure into a second Fiji F200 ALD reactor. The SnNx 177 anode was deposited at 200˚C using tetrakis(dimethylamido)tin (TDMASn) and a N2 plasma with a growth rate of 0.5Å/cyc, followed by deposition of TiN using tetrakis(dimethylamido)titanium (TDMAT) and a N2 plasma, also at 200˚C and with a similar growth rate. Layer thicknesses were measured by SEM cross section and have an estimated error of 10%. 178 Bibliography [1] J. B. Goodenough and K.-S. Park, “The Li-Ion Rechargeable Battery: A Perspective,” J. Am. Chem. Soc., vol. 135, no. 4, pp. 1167–1176, Jan. 2013. [2] J. Janek and W. G. Zeier, “A solid future for battery development,” Nat. Energy, vol. 1, no. 9, p. 16141, Sep. 2016. [3] J. Newman and W. Tiedemann, “Porous-electrode theory with battery applications,” AlChE J., vol. 21, no. 1, pp. 25–41, 1975. [4] S. Beeby and N. White, Energy Harvesting for Autonomous Systems (Smart Materials, Structures, and Systems). Artech House. [5] J. F. M. Oudenhoven, L. Baggetto, and P. H. L. Notten, “All-solid-state lithium-ion microbatteries: A review of various three-dimensional concepts,” Adv. Energy Mater., vol. 1, no. 1, pp. 10–33, 2011. [6] F. Le Cras, B. Pecquenard, V. Dubois, V. P. Phan, and D. Guy-Bouyssou, “All-Solid-State Lithium-Ion Microbatteries Using Silicon Nanofilm Anodes: High Performance and Memory Effect,” Adv. Energy Mater., vol. 5, no. 19, pp. 1–10, 2015. [7] S. Roundy, D. Steingart, L. Frechette, P. Wright, and J. Rabaey, “Power Sources for Wireless Sensor Networks,” Springer, Berlin, Heidelberg, 2004, pp. 1–17. [8] A. T. Kutbee et al., “Flexible and biocompatible high-performance solid-state micro-battery for implantable orthodontic system,” npj Flex. Electron., vol. 1, no. 1, p. 7, Dec. 2017. 179 [9] J. M. Tarascon and M. Armand, “Issues and challenges facing rechargeable lithium batteries.,” Nature, vol. 414, no. 6861, pp. 359–367, 2001. [10] W. Wan, Q. Zhang, Y. Cui, and E. Wang, “First principles study of lithium insertion in bulk silicon,” J. Phys. Condens. Matter, vol. 22, no. 22, pp. 415501–9, 2010. [11] K. Xu, “Nonaqueous liquid electrolytes for lithium-based rechargeable batteries.,” Chem. Rev., vol. 104, no. 10, pp. 4303–417, Oct. 2004. [12] A. C. Luntz, J. Voss, and K. Reuter, “Interfacial Challenges in Solid-State Li Ion Batteries,” J. Phys. Chem. Lett., vol. 6, no. 22, pp. 4599–4604, 2015. [13] D. Danilov, R. A. H. Niessen, and P. H. L. Notten, “Modeling All-Solid-State Li-Ion Batteries,” J. Electrochem. Soc., vol. 158, no. 3, p. A215, Mar. 2011. [14] G. W. Rubloff, A. C. Kozen, and S. Bok Lee, “From nanoscience to solutions in electrochemical energy storage,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 31, no. 5, p. 58503, 2013. [15] “A review of hazards associated with primary lithium and lithium-ion batteries,” Process Saf. Environ. Prot., vol. 89, no. 6, pp. 434–442, Nov. 2011. [16] Z. M. Bauman, J. Roman, M. Singer, and G. A. Vercruysse, “Canary in the coal mine—Initial reports of thermal injury secondary to electronic cigarettes,” Burns, 2016. [17] Y. Cao, X. Meng, and J. W. Elam, “Atomic Layer Deposition of Li x Al y S Solid-State Electrolytes for Stabilizing Lithium-Metal Anodes,” ChemElectroChem, vol. 3, no. 6, pp. 858–863, Jun. 2016. [18] B. V Lotsch and J. Maier, “Relevance of solid electrolytes for lithium-based 180 batteries: A realistic view.” [19] N. Kamaya et al., “A lithium superionic conductor,” Nat. Mater., vol. 10, no. 9, pp. 682–686, 2011. [20] Y. Kato et al., “High-power all-solid-state batteries using sulfide superionic conductors,” Nat. Energy, vol. 1, no. 4, p. 16030, Mar. 2016. [21] Y. Zhu, X. He, and Y. Mo, “Origin of Outstanding Stability in the Lithium Solid Electrolyte Materials: Insights from Thermodynamic Analyses Based on First-Principles Calculations,” ACS Appl. Mater. Interfaces, vol. 7, no. 42, pp. 23685–23693, Oct. 2015. [22] J. Li, N. J. Dudney, J. Nanda, and C. Liang, “Artificial solid electrolyte interphase to address the electrochemical degradation of silicon electrodes,” ACS Appl. Mater. Interfaces, vol. 6, no. 13, pp. 10083–10088, 2014. [23] J. Li, C. Ma, M. Chi, C. Liang, and N. J. Dudney, “Solid electrolyte: The key for high-voltage lithium batteries,” Adv. Energy Mater., vol. 5, no. 4, pp. 1–6, 2015. [24] Y. A. Du and N. A. W. Holzwarth, “First-principles study of LiPON and related solid electrolytes,” Phys. Rev. B - Condens. Matter Mater. Phys., vol. 81, no. 18, 2010. [25] K. Senevirathne, C. S. Day, M. D. Gross, A. Lachgar, and N. A. W. Holzwarth, “A new crystalline LiPON electrolyte: Synthesis, properties, and electronic structure,” Solid State Ionics, vol. 233, pp. 95–101, 2013. [26] A. C. Kozen, A. J. Pearse, C. Lin, M. Noked, and G. W. Rubloff, “Atomic Layer Deposition of the Solid Electrolyte LiPON,” Chem. Mater., vol. 27, no. 181 15, pp. 5324–5331, 2015. [27] M. A. Carrillo Solano et al., “Phosphate structure and lithium environments in lithium phosphorus oxynitride amorphous thin films,” Ionics (Kiel)., vol. 22, no. 4, pp. 471–481, 2016. [28] J. Bates, “Electrical properties of amorphous lithium electrolyte thin films,” Solid State Ionics, vol. 53–56, pp. 647–654, Jul. 1992. [29] K. Kanehori, K. Matsumoto, K. Miyauchi, and T. Kudo, “Thin film solid electrolyte and its application to secondary lithium cell,” Solid State Ionics, 1983. [30] A. Levasseur, M. Kbala, P. Hagenmuller, G. Couturier, and Y. Danto, “Elaboration and characterization of lithium conducting thin film glasses,” Solid State Ionics, vol. 9–10, no. PART 2, pp. 1439–1444, 1983. [31] R. Creus, J. Sarradin, R. Astier, A. Pradel, and M. Ribes, “The use of ionic and mixed conductive glasses in microbatteries,” Mater. Sci. Eng. B, vol. 3, no. 1–2, pp. 109–112, 1989. [32] K. Mizushima, P. C. Jones, P. J. Wiseman, and J. B. Goodenough, “LiCoO2: A new cathode material for batteries of high energy density,” Mat. Res. Bull., vol. 15, no. 6, pp. 783–789, Jun. 1980. [33] N. J. Dudney, “Solid-state thin-film rechargeable batteries,” Mater. Sci. Eng. B Solid-State Mater. Adv. Technol., vol. 116, no. 3 SPEC.ISS., pp. 245–249, 2005. [34] Cymbet Corporation. http://www.cymbet.com/. [35] J. W. Long, B. Dunn, D. R. Rolison, and H. S. White, “Three-dimensional 182 battery architectures,” Chem. Rev., vol. 104, no. 10, pp. 4463–4492, 2004. [36] P. H. L. Notten, F. Roozeboom, R. A. H. Niessen, and L. Baggetto, “3-D integrated all-solid-state rechargeable batteries,” Adv. Mater., vol. 19, no. 24, pp. 4564–4567, 2007. [37] L. Baggetto, R. A. H. Niessen, F. Roozehoom, and P. H. L. Notten, “High energy density all-solid-state batteries: A challenging concept towards 3D integration,” Adv. Funct. Mater., vol. 18, no. 7, pp. 1057–1066, Apr. 2008. [38] D. Ruzmetov et al., “Electrolyte Stability Determines Scaling Limits for Solid- State 3D Li Ion Batteries,” Nano Lett., vol. 12, no. 1, pp. 505–511, Jan. 2012. [39] A. A. Talin et al., “Fabrication, Testing, and Simulation of All-Solid-State Three-Dimensional Li-Ion Batteries,” ACS Appl. Mater. Interfaces, vol. 8, no. 47, pp. 32385–32391, 2016. [40] T. S. Arthur et al., “Three-dimensional electrodes and battery architectures,” MRS Bull., vol. 36, no. 7, pp. 523–531, 2011. [41] V. Zadin, H. Kasemägi, A. Aabloo, and D. Brandell, “Modelling electrode material utilization in the trench model 3D-microbattery by finite element analysis,” J. Power Sources, vol. 195, no. 18, pp. 6218–6224, Sep. 2010. [42] V. Zadin, D. Brandell, H. Kasemägi, J. Lellep, and A. Aabloo, “Designing the 3D-microbattery geometry using the level-set method,” J. Power Sources, vol. 244, pp. 417–428, Dec. 2013. [43] J. H. Pikul, H. Gang Zhang, J. Cho, P. V. Braun, and W. P. King, “High-power lithium ion microbatteries from interdigitated three-dimensional bicontinuous nanoporous electrodes,” Nat. Commun., vol. 4, p. 1732, Apr. 2013. 183 [44] G. Nyström, A. Marais, E. Karabulut, L. Wågberg, Y. Cui, and M. M. Hamedi, “ARTICLE Self-assembled three-dimensional and compressible interdigitated thin-film supercapacitors and batteries,” Nat. Commun., vol. 6, 2015. [45] M. Nathan et al., “Three-dimensional thin-film Li-ion microbatteries for autonomous MEMS,” J. Microelectromechanical Syst., vol. 14, no. 5, pp. 879– 885, Oct. 2005. [46] H. S. Min et al., “Fabrication and properties of a carbon/polypyrrole three- dimensional microbattery,” J. Power Sources, vol. 178, no. 2, pp. 795–800, 2008. [47] C. Liu et al., “An all-in-one nanopore battery array,” Nat. Nanotechnol., vol. 9, no. 12, pp. 1031–1039, Nov. 2014. [48] N. S. Ergang, J. C. Lytle, K. T. Lee, S. M. Oh, W. H. Smyrl, and A. Stein, “Photonic crystal structures as a basis for a three-dimensionally interpenetrating electrochemical-cell system,” Adv. Mater., vol. 18, no. 13, pp. 1750–1753, 2006. [49] N. S. Ergang, M. A. Fierke, Z. Wang, W. H. Smyrl, and A. Stein, “Fabrication of a Fully Infiltrated Three-Dimensional Solid-State Interpenetrating Electrochemical Cell,” J. Electrochem. Soc., vol. 154, no. 12, p. A1135, Dec. 2007. [50] K. McKelvey, A. A. Talin, B. Dunn, and H. S. White, “Microscale 2.5D Batteries,” J. Electrochem. Soc., vol. 164, no. 12, pp. A2500–A2503, Aug. 2017. [51] A. C. Jones and M. L. Hitchman, Chemical vapour deposition : precursors, 184 processes and applications. Royal Society of Chemistry, 2009. [52] S. M. George, “Atomic layer deposition: an overview.,” Chem. Rev., vol. 110, no. 1, pp. 111–31, Jan. 2010. [53] C. D. Travis and R. A. Adomaitis, “Modeling ALD Surface Reaction and Process Dynamics using Absolute Reaction Rate Theory,” Chem. Vap. Depos., vol. 19, no. 1–3, pp. 4–14, Mar. 2013. [54] M. Leskelä and M. Ritala, “Atomic Layer Deposition Chemistry: Recent Developments and Future Challenges,” Angew. Chemie Int. Ed., vol. 42, no. 45, pp. 5548–5554, Nov. 2003. [55] V. R. Rai, V. Vandalon, and S. Agarwal, “Influence of Surface Temperature on the Mechanism of Atomic Layer Deposition of Aluminum Oxide Using an Oxygen Plasma and Ozone,” Langmuir, vol. 28, no. 1, pp. 350–357, Jan. 2012. [56] V. Miikkulainen et al., “Atomic Layer Deposition of Spinel Lithium Manganese Oxide by Film-Body-Controlled Lithium Incorporation for Thin- Film Lithium-Ion Batteries,” J. Phys. Chem. C, vol. 118, no. 2, pp. 1258–1268, Jan. 2014. [57] R. L. Z. Hoye et al., “Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices,” APL Mater., vol. 3, no. 4, p. 40701, Apr. 2015. [58] H. B. Profijt, S. E. Potts, M. C. M. van de Sanden, and W. M. M. Kessels, “Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 29, no. 5, p. 50801, 2011. 185 [59] Y. S. Jung et al., “Ultrathin direct atomic layer deposition on composite electrodes for highly durable and safe Li-ion batteries.,” Adv. Mater., vol. 22, no. 19, pp. 2172–6, May 2010. [60] K. Leung et al., “Using atomic layer deposition to hinder solvent decomposition in lithium ion batteries: first-principles modeling and experimental studies.,” J. Am. Chem. Soc., vol. 133, no. 37, pp. 14741–54, Sep. 2011. [61] J. Liu and X. Sun, “Elegant design of electrode and electrode/electrolyte interface in lithium-ion batteries by atomic layer deposition,” Nanotechnology, vol. 26, no. 2, p. 24001, Jan. 2015. [62] A. C. Kozen et al., “Next-Generation Lithium Metal Anode Engineering via Atomic Layer Deposition.,” ACS Nano, vol. 9, no. 6, pp. 5884–5892, 2015. [63] C.-F. Lin et al., “Solid Electrolyte Lithium Phosphous Oxynitride as a Protective Nanocladding Layer for 3D High-Capacity Conversion Electrodes,” ACS Nano, vol. 10, no. 2, pp. 2693–2701, Feb. 2016. [64] “ALD Nanosolutions.” [Online]. Available: https://www.aldnanosolutions.com/ald-applications/ald-batteries/. [65] X. Han et al., “Negating Interfacial Impedance in Garnet-Based Solid-State Li- Metal Batteries,” Nat. Mater., 2017. [66] M. E. Donders, W. M. Arnoldbik, H. C. M. Knoops, W. M. M. Kessels, and P. H. L. Notten, “Atomic Layer Deposition of LiCoO2 Thin-Film Electrodes for All-Solid-State Li-Ion Micro-Batteries,” J. Electrochem. Soc., vol. 160, no. 5, pp. A3066–A3071, 2013. 186 [67] J. Liu et al., “Rational Design of Atomic-Layer-Deposited LiFePO 4 as a High- Performance Cathode for Lithium-Ion Batteries,” Adv. Mater., vol. 26, no. 37, pp. 6472–6477, Oct. 2014. [68] X. Chen, E. Pomerantseva, K. Gregorczyk, R. Ghodssi, and G. Rubloff, “Cathodic ALD V2O5 thin films for high-rate electrochemical energy storage,” RSC Adv., vol. 3, no. 13, pp. 4294–4302, 2013. [69] T. Dobbelaere, F. Mattelaer, J. Dendooven, P. Vereecken, and C. Detavernier, “Plasma-Enhanced Atomic Layer Deposition of Iron Phosphate as a Positive Electrode for 3D Lithium-Ion Microbatteries,” Chem. Mater., vol. 28, no. 10, pp. 3435–3445, May 2016. [70] T. Aaltonen, O. Nilsen, A. Magras, and H. Fjellv, “Atomic Layer Deposition of Li 2 O Al 2 O 3 Thin Films,” Chem. Mater., vol. 23, pp. 4669–4675, 2011. [71] J. Liu et al., “Atomic Layer Deposition of Lithium Tantalate Solid-State Electrolytes,” J. Phys. Chem. C, vol. 117, no. 39, pp. 20260–20267, Oct. 2013. [72] B. Wang, J. Liu, Q. Sun, R. Li, T.-K. Sham, and X. Sun, “Atomic layer deposition of lithium phosphates as solid-state electrolytes for all-solid-state microbatteries,” Nanotechnology, vol. 25, no. 50, p. 504007, Dec. 2014. [73] J. Hamalainen et al., “Lithium Phosphate Thin Films Grown by Atomic Layer Deposition,” J. Electrochem. Soc., vol. 159, no. 3, pp. A259–A263, Jan. 2012. [74] E. Kazyak et al., “Atomic Layer Deposition of the Solid Electrolyte Garnet Li 7 La 3 Zr 2 O 12,” Chem. Mater., vol. 29, no. 8, pp. 3785–3792, Apr. 2017. [75] C. S. Fadley, “X-ray photoelectron spectroscopy: Progress and perspectives,” J. Electron Spectros. Relat. Phenomena, vol. 178–179, pp. 2–32, May 2010. 187 [76] K. a Wepasnick, B. a Smith, J. L. Bitter, and D. Howard Fairbrother, “Chemical and structural characterization of carbon nanotube surfaces.,” Anal. Bioanal. Chem., vol. 396, no. 3, pp. 1003–14, Feb. 2010. [77] J. S. Newman and C. W. Tobias, “Theoretical Analysis of Current Distribution in Porous Electrodes,” J. Electrochem. Soc., vol. 109, no. 12, p. 1183, 1962. [78] Y. Tang, M. Jia, J. Li, Y. Lai, Y. Cheng, and Y. Liu, “Numerical Analysis of Distribution and Evolution of Reaction Current Density in Discharge Process of Lithium-Ion Power Battery,” J. Electrochem. Soc., vol. 161, no. 8, pp. E3021–E3027, 2014. [79] M. Winter and R. J. Brodd, “What are batteries, fuel cells, and supercapacitors?,” Chem. Rev., vol. 104, no. 10, pp. 4245–4269, 2004. [80] M. Katayama et al., “X-ray absorption fine structure imaging of inhomogeneous electrode reaction in LiFePO4 lithium-ion battery cathode,” J. Power Sources, vol. 269, pp. 994–999, 2014. [81] W. A. Paxton, Z. Zhong, and T. Tsakalakos, “Tracking inhomogeneity in high- capacity lithium iron phosphate batteries,” J. Power Sources, vol. 275, pp. 429–434, 2015. [82] G. Ouvrard et al., “Heterogeneous behaviour of the lithium battery composite electrode LiFePO4,” J. Power Sources, vol. 229, pp. 16–31, 2013. [83] J. Nanda et al., “Local State-of-Charge Mapping of Lithium-Ion Battery Electrodes,” Adv. Funct. Mater., vol. 21, no. 17, pp. 3282–3290, Sep. 2011. [84] J. Liu, M. Kunz, K. Chen, N. Tamura, and T. J. Richardson, “Visualization of Charge Distribution in a Lithium Battery Electrode,” J. Phys. Chem. Lett., vol. 188 1, no. 14, pp. 2120–2123, Jul. 2010. [85] X. Zhang, T. W. Verhallen, F. Labohm, and M. Wagemaker, “Direct Observation of Li-Ion Transport in Electrodes under Nonequilibrium Conditions Using Neutron Depth Profiling,” Adv. Energy Mater., vol. 5, no. 15, pp. 1–8, 2015. [86] F. C. Strobridge et al., “Mapping the Inhomogeneous Electrochemical Reaction Through Porous LiFePO 4 -Electrodes in a Standard Coin Cell Battery,” Chem. Mater., vol. 27, no. 7, pp. 2374–2386, 2015. [87] J. C. Badot, a. Mantoux, N. Baffier, O. Dubrunfaut, and D. Lincot, “Electrical properties of V2O5 thin films obtained by atomic layer deposition (ALD),” J. Mater. Chem., vol. 14, no. 23, p. 3411, 2004. [88] M. C. Biesinger, L. W. M. Lau, A. R. Gerson, and R. S. C. Smart, “Resolving surface chemical states in XPS analysis of first row transition metals, oxides and hydroxides: Sc, Ti, V, Cu and Zn,” Appl. Surf. Sci., vol. 257, no. 3, pp. 887–898, Nov. 2010. [89] G. Silversmit, D. Depla, H. Poelman, G. B. Marin, and R. De Gryse, “Determination of the V2p XPS binding energies for different vanadium oxidation states (V5+ to V0+),” J. Electron Spectros. Relat. Phenomena, vol. 135, no. 2–3, pp. 167–175, 2004. [90] R. Gupta and S. Sen, “Calculation of multiplet structure of core p-vacancy levels. II,” Phys. Rev. B, vol. 12, no. 1, pp. 15–19, 1975. [91] M. J. Konstantinović, S. Van den Berghe, M. Isobe, and Y. Ueda, “X-ray photoelectron spectroscopy study of mixed-valence effects and charge 189 fluctuation in Na x V 2 O 5,” Phys. Rev. B, vol. 72, no. 12, p. 125124, Sep. 2005. [92] E. Stavitski and F. M. F. de Groot, “The CTM4XAS program for EELS and XAS spectral shape analysis of transition metal L edges,” Micron, vol. 41, no. 7, pp. 687–694, 2010. [93] G. Garreau, V. Schorsch, E. Beaurepaire, J. Parlebas, O. Speder, and P. Rennert, “Core-level XPS spectra of supported 3d-metal ultrathin layers: experimental and theoretical studies,” J. Phys. IV, pp. 3–7, 1994. [94] H. Tanida et al., “Elucidating the Driving Force of Relaxation of Reaction Distribution in LiCoO 2 and LiFePO 4 Electrodes Using X-ray Absorption Spectroscopy,” J. Phys. Chem. C, vol. 120, no. 9, pp. 4739–4743, 2016. [95] Z. Lu, M. D. Levi, G. Salitra, Y. Gofer, E. Levi, and D. Aurbach, “Basic electroanalytical characterization of lithium insertion into thin, well- crystallized V2O5 films,” J. Electroanal. Chem., vol. 491, no. 1–2, pp. 211– 221, Sep. 2000. [96] A. Latz and J. Zausch, “Thermodynamic derivation of a Butler-Volmer model for intercalation in Li-ion batteries,” Electrochim. Acta, vol. 110, pp. 358–362, 2013. [97] Y. Li, B. Tan, and Y. Wu, “Mesoporous Co 3 O 4 Nanowire Arrays for Lithium Ion Batteries with High Capacity and Rate Capability,” Nano Lett., vol. 8, no. 1, pp. 265–270, 2008. [98] J. Euler and W. Nonnenmacher, “Stromverteilung in porosen elektroden,” Electrochim. Acta, vol. 2, no. 4, p. 268, 1960. 190 [99] T. F. Fuller, M. Doyle, and J. Newman, “Simulation and optimization of the dual lithium ion insertion cell,” J. Electrochem. Soc., vol. 141, no. 1, pp. 1–10, 1994. [100] N. Mott and E. Davis, Electronic Processes in Non-Crystalline Materials. 1971. [101] C. Julien, “Physical Chemistry of Lithium Intercalation Compounds,” in New Trends in Intercalation Compounds for Energy Storage, 2002, pp. 209–233. [102] F. Huguenin and R. M. Torresi, “Investigation of the electrical and electrochemical properties of nanocomposites from v2O5, polypyrrole, and polyaniline,” J. Phys. Chem. C, vol. 112, no. 6, pp. 2202–2209, 2008. [103] G. P. Holland, D. A. Buttry, and J. L. Yarger, “7 Li NMR Studies of Electrochemically Lithiated V 2 O 5 Xerogels,” Chem. Mater., vol. 14, no. 9, pp. 3875–3881, 2002. [104] J. C. Badot, G. Gregoire, and N. Baffier, “Electronic Transport Properties in LixCr0.11V2O5.16,” in Materials for Lithium Ion Batteries, 2000, pp. 501– 506. [105] M. D. Levi et al., “Simultaneous in-situ conductivity and cyclic voltammetry characterization of Li-ion intercalation into thin V2O5 films,” J. Electroanal. Chem., vol. 479, no. 1, pp. 12–20, Dec. 1999. [106] M. Le et al., “In situ electrical conductivity of LixMnO2 nanowires as a function of x and size,” Chem. Mater., vol. 27, no. 9, pp. 3494–3504, 2015. [107] F. Sauvage, J. M. Tarascon, and E. Baudrin, “In situ measurements of Li Ion 191 battery electrode material conductivity: Application to LixCoO2 and conversion reactions,” J. Phys. Chem. C, vol. 111, no. 26, pp. 9624–9630, 2007. [108] K. Kirshenbaum et al., “In situ visualization of Li/Ag2VP2O8 batteries revealing rate-dependent discharge mechanism,” Science (80-. )., vol. 347, no. 6218, pp. 149–154, 2015. [109] R. Chen, W. Qu, X. Guo, L. Li, and F. Wu, “The pursuit of solid-state electrolytes for lithium batteries: from comprehensive insight to emerging horizons,” Mater. Horiz., vol. 3, no. 6, pp. 487–516, 2016. [110] J. C. Bachman et al., “Inorganic Solid-State Electrolytes for Lithium Batteries: Mechanisms and Properties Governing Ion Conduction,” Chem. Rev., vol. 116, no. 1, pp. 140–162, Jan. 2016. [111] F. Lalère et al., “An all-solid state NASICON sodium battery operating at 200 °C,” J. Power Sources, vol. 247, pp. 975–980, 2014. [112] D. Qian, B. Xu, H.-M. Cho, T. Hatsukade, K. J. Carroll, and Y. S. Meng, “Lithium Lanthanum Titanium Oxides: A Fast Ionic Conductive Coating for Lithium-Ion Battery Cathodes,” Chem. Mater., vol. 24, no. 14, pp. 2744–2751, Jul. 2012. [113] S. Ito et al., “A rocking chair type all-solid-state lithium ion battery adopting Li2O–ZrO2 coated LiNi0.8Co0.15Al0.05O2 and a sulfide based electrolyte,” J. Power Sources, vol. 248, pp. 943–950, 2014. [114] S. J. Visco et al., “Aqueous and nonaqueous lithium-air batteries enabled by water-stable lithium metal electrodes,” J. Solid State Electrochem., vol. 18, no. 192 5, pp. 1443–1456, Mar. 2014. [115] H. Xia, H. L. Wang, W. Xiao, M. O. Lai, and L. Lu, “Thin film Li electrolytes for all-solid-state micro-batteries,” Int. J. Surf. Sci. Eng., vol. 3, no. 1/2, pp. 23–43, 2009. [116] V. Zadin, D. Brandell, H. Kasemägi, A. Aabloo, and J. O. Thomas, “Finite element modelling of ion transport in the electrolyte of a 3D-microbattery,” Solid State Ionics, vol. 192, no. 1, pp. 279–283, Jun. 2011. [117] T. Aaltonen, O. Nilsen, A. Magrasó, and H. Fjellvåg, “Atomic Layer Deposition of Li 2 O–Al 2 O 3 Thin Films,” Chem. Mater., vol. 23, no. 21, pp. 4669–4675, Nov. 2011. [118] Y.-C. Perng et al., “Synthesis of ion conducting Li x Al y Si z O thin films by atomic layer deposition,” J. Mater. Chem. A, vol. 2, no. 25, pp. 9566–9573, 2014. [119] E. Østreng et al., “Atomic layer deposition of ferroelectric LiNbO 3,” J. Mater. Chem. C, vol. 1, no. 27, pp. 4283–4290, 2013. [120] T. Aaltonen, M. Alnes, O. Nilsen, L. Costelle, and H. Fjellvåg, “Lanthanum titanate and lithium lanthanum titanate thin films grown by atomic layer deposition,” J. Mater. Chem., vol. 20, no. 14, pp. 2877–2881, 2010. [121] X. Yu, J. B. Bates, G. E. Jellison, and F. X. Hart, “A Stable Thin-Film Lithium Electrolyte: Lithium Phosphorus Oxynitride,” J. Electrochem. Soc., vol. 144, no. 2, pp. 524–532, 1997. [122] M. Nisula, Y. Shindo, H. Koga, and M. Karppinen, “Atomic Layer Deposition of Lithium Phosphorus Oxynitride,” Chem. Mater., vol. 27, no. 20, pp. 6987– 193 6993, 2015. [123] S. Shibata, “Thermal Atomic Layer Deposition of Lithium Phosphorus Oxynitride as a Thin-Film Solid Electrolyte,” J. Electrochem. Soc., vol. 163, no. 13, pp. A2555–A2562, Sep. 2016. [124] D. Saulys et al., “An examination of the surface decomposition chemistry of lithium niobate precursors under high vacuum conditions,” J. Cryst. Growth, vol. 217, no. 3, pp. 287–301, 2000. [125] L. Meda and E. E. Maxie, “Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N2–H2–Ar gas mixture,” Thin Solid Films, vol. 520, no. 6, pp. 1799–1803, 2012. [126] N. J. Dudney and Y. Il Jang, “Analysis of thin-film lithium batteries with cathodes of 50 nm to 4 um thick LiCoO2,” J. Power Sources, vol. 119–121, no. 2003, pp. 300–304, 2003. [127] B. Put et al., “Plasma - Assisted ALD of Lipo(N) for Solid State Batteries,” ECS Trans., vol. 75, no. 20, pp. 61–69, 2017. [128] A. C. Kozen et al., “Atomic Layer Deposition and in Situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide,” J. Phys. Chem. C, vol. 118, no. 48, pp. 27749–27753, 2014. [129] E. Langereis et al., “In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition,” J. Phys. D. Appl. Phys., vol. 42, no. 7, p. 73001, Apr. 2009. [130] P. Sundberg and M. Karppinen, “Organic and inorganic–organic thin film structures by molecular layer deposition: A review,” Beilstein J. Nanotechnol., 194 vol. 5, no. 1, pp. 1104–1136, Jul. 2014. [131] B. Fleutot, B. Pecquenard, H. Martinez, M. Letellier, and A. Levasseur, “Investigation of the local structure of LiPON thin films to better understand the role of nitrogen on their performance,” Solid State Ionics, vol. 186, no. 1, pp. 29–36, 2011. [132] B. Put, P. M. Vereecken, J. Meersschaut, A. Sepúlveda, and A. Stesmans, “Electrical Characterization of Ultrathin RF-Sputtered LiPON Layers for Nanoscale Batteries,” ACS Appl. Mater. Interfaces, vol. 8, pp. 7060–7069, 2016. [133] W. C. West et al., “Reduction of charge-transfer resistance at the solid electrolyte - Electrode interface by pulsed laser deposition of films from a crystalline Li2PO2N source,” J. Power Sources, vol. 312, pp. 116–122, 2016. [134] G. Nazri, D. M. MacArthur, and J. F. Ogara, “Polyphosphazene electrolytes for lithium batteries,” Chem. Mater., vol. 1, no. 3, pp. 370–374, May 1989. [135] S. Jankowsky, M. M. Hiller, and H.-D. Wiemhöfer, “Preparation and electrochemical performance of polyphosphazene based salt-in-polymer electrolyte membranes for lithium ion batteries,” J. Power Sources, vol. 253, pp. 256–262, 2014. [136] J. Moulder, W. Stickle, P. Sobol, and K. Bomben, Handbook of Photoelectron Spectroscopy. 1995. [137] W.-Y. Liu, C.-L. Li, and Z.-W. Fu, “Stability of Lithium Phosphorous Oxynitride Thin Films in Humid Air,” Acta Physico-Chimica Sin., vol. 22, no. 11, pp. 1413–1418, 2006. 195 [138] A. Cankaya et al., “Side-chain poly(phosphoramidate)s via acyclic diene metathesis polycondensation,” Polym. Chem., vol. 7, no. 31, pp. 5004–5010, 2016. [139] M. L. Nielsen, “The Formation of P-N and P-N-P Bonds by Elimination of Phenol in a Basic Condensation,” Inorg. Chem., vol. 3, no. 12, pp. 1760–1767, 1964. [140] S. E. Atanasov et al., “Highly Conductive and Conformal Poly(3,4- ethylenedioxythiophene) (PEDOT) Thin Films via Oxidative Molecular Layer Deposition,” Chem. Mater., vol. 26, no. 11, pp. 3471–3478, Jun. 2014. [141] M. R. Shoar Abouzari, F. Berkemeier, G. Schmitz, and D. Wilmer, “On the physical interpretation of constant phase elements,” Solid State Ionics, vol. 180, no. 14, pp. 922–927, 2009. [142] L. Cheng et al., “The origin of high electrolyte–electrode interfacial resistances in lithium cells containing garnet type solid electrolytes,” Phys. Chem. Chem. Phys., vol. 16, no. 34, p. 18294, Jul. 2014. [143] N. Kuwata, N. Iwagami, Y. Tanji, Y. Matsuda, and J. Kawamura, “Characterization of Thin-Film Lithium Batteries with Stable Thin-Film Li3PO4 Solid Electrolytes Fabricated by ArF Excimer Laser Deposition,” J. Electrochem. Soc., vol. 157, no. 4, pp. A521–A527, 2010. [144] K. Nishio, M. Yoshida, and H. Masuda, “Fabrication of Nanoporous Pt by Electrochemical Alloying and Dealloying with Li,” ECS Electrochem. Lett., vol. 2, no. 11, pp. C43–C45, Aug. 2013. [145] V. P. Phan, B. Pecquenard, and F. Le Cras, “High-Performance All-Solid-State 196 Cells Fabricated With Silicon Electrodes,” Adv. Funct. Mater., vol. 22, no. 12, pp. 2580–2584, Jun. 2012. [146] Y.-I. Jang, N. J. Dudney, D. A. Blom, and L. F. Allard, “High-Voltage Cycling Behavior of Thin-Film LiCoO[sub 2] Cathodes,” J. Electrochem. Soc., vol. 149, no. 11, pp. A1442–A1447, 2002. [147] S. Nowak, F. Berkemeier, and G. Schmitz, “Ultra-thin LiPON films – Fundamental properties and application in solid state thin film model batteries,” J. Power Sources, vol. 275, pp. 144–150, Feb. 2015. [148] H. Nakazawa, K. Sano, M. Baba, and N. Kumagai, “Stability of Thin-Film Lithium-Ion Rechargeable Batteries Fabricated by Sputtering Method without Heating,” J. Electrochem. Soc., vol. 162, no. 3, pp. A392–A397, Dec. 2014. [149] A. J. Pearse et al., “Nanoscale Solid State Batteries Enabled By Thermal Atomic Layer Deposition of a Lithium Polyphosphazene Solid State Electrolyte,” Chem. Mater., vol. 29, pp. 3740–3753, 2017. [150] X. Meng, X. Q. Yang, and X. Sun, “Emerging applications of atomic layer deposition for lithium-ion battery studies,” Adv. Mater., vol. 24, no. 27, pp. 3589–3615, 2012. [151] M. Letiche et al., “Atomic Layer Deposition of Functional Layers for on Chip 3D Li-Ion All Solid State Microbattery,” Adv. Energy Mater., vol. 7, no. 2, p. 1601402, Jan. 2017. [152] L. Ma, R. B. Nuwayhid, T. Wu, Y. Lei, K. Amine, and J. Lu, “Atomic Layer Deposition for Lithium-Based Batteries,” Adv. Mater. Interfaces, vol. 3, no. 21, p. 1600564, Nov. 2016. 197 [153] A. J. Pearse, E. Gillette, S. B. Lee, and G. W. Rubloff, “The reaction current distribution in battery electrode materials revealed by XPS-based state-of- charge mapping,” Phys. Chem. Chem. Phys., vol. 18, no. 28, pp. 19093–19102, 2016. [154] M. Baba, N. Kumagai, H. Kobayashi, O. Nakano, and K. Nishidate, “Fabrication and Electrochemical Characteristics of All-Solid-State Lithium- Ion Batteries Using V2O5 Thin Films for Both Electrodes,” Electrochem. Solid-State Lett., vol. 2, no. 7, p. 320, 1999. [155] E. J. Jeon, Y. W. Shin, S. C. Nam, W. Il Cho, and Y. S. Yoon, “Characterization of All-Solid-State Thin-Film Batteries with V[sub 2]O[sub 5] Thin-Film Cathodes Using Ex Situ and In Situ Processes,” J. Electrochem. Soc., vol. 148, no. 4, p. A318, 2001. [156] J. M. McGraw, C. S. Bahn, P. A. Parilla, J. D. Perkins, D. W. Readey, and D. S. Ginley, “Li ion diffusion measurements in V2O5 and Li(Co1−xAlx)O2 thin- film battery cathodes,” Electrochim. Acta, vol. 45, no. 1–2, pp. 187–196, Sep. 1999. [157] S. Arpiainen et al., “Self-assembled three-dimensional inverted photonic crystals on a photonic chip,” Phys. status solidi, p. e201700039, Jun. 2017. [158] C. S. Nimisha, G. M. Rao, N. Munichandraiah, G. Natarajan, and D. C. Cameron, “Chemical and microstructural modifications in LiPON thin films exposed to atmospheric humidity,” Solid State Ionics, vol. 185, no. 1, pp. 47– 51, Mar. 2011. [159] H. Knoops, M. E. Donders, L. Baggetto, M. C. Van de Sanden, P. Notten, and 198 W. M. Kessels, “Atomic Layer Deposition for All-Solid-State 3D-Integrated Batteries,” in ECS Transactions, 2009, vol. 25, no. 4, pp. 333–344. [160] L. Assaud, K. Pitzschel, M. Hanbucken, and L. Santinacci, “Highly-Conformal TiN Thin Films Grown by Thermal and Plasma-Enhanced Atomic Layer Deposition,” ECS J. Solid State Sci. Technol., vol. 3, no. 7, pp. P253–P258, Jun. 2014. [161] D. Lützenkirchen-Hecht and R. Frahm, “Structure of reactively sputter deposited tin-nitride thin films: A combined X-ray photoelectron spectroscopy, in situ X-ray reflectivity and X-ray absorption spectroscopy study,” Thin Solid Films, vol. 493, no. 1–2, pp. 67–76, Dec. 2005. [162] M. Winter and J. O. Besenhard, “Electrochemical lithiation of tin and tin-based intermetallics and composites,” Electrochim. Acta, vol. 45, no. 1–2, pp. 31–50, Sep. 1999. [163] Henrik Lindström et al., “Li+ Ion Insertion in TiO2 (Anatase). 2. Voltammetry on Nanoporous Films,” 1997. [164] J. Y. Huang et al., “In Situ Observation of the Electrochemical Lithiation of a Single SnO2 Nanowire Electrode,” Science (80-. )., vol. 330, no. 6010, 2010. [165] S. Jacke, J. Song, G. Cherkashinin, L. Dimesso, and W. Jaegermann, “Investigation of the solid-state electrolyte/cathode LiPON/LiCoO2 interface by photoelectron spectroscopy,” Ionics (Kiel)., vol. 16, no. 9, pp. 769–775, 2010. [166] F. Le Cras, B. Pecquenard, V. Dubois, V.-P. Phan, and D. Guy-Bouyssou, “All-Solid-State Lithium-Ion Microbatteries Using Silicon Nanofilm Anodes: 199 High Performance and Memory Effect,” Adv. Energy Mater., p. n/a-n/a, Jul. 2015. [167] U. Boesenberg et al., “Asymmetric pathways in the electrochemical conversion reaction of NiO as battery electrode with high storage capacity.” [168] C. Navone, R. Baddour-Hadjean, J. P. Pereira-Ramos, and R. Salot, “Sputtered Crystalline V 2 O 5 Thin Films for All-Solid-State,” J. Electrochem. Soc., vol. 156, no. 9, pp. 763–767, 2009. [169] S.-H. Lee, P. Liu, C. E. Tracy, and D. K. Benson, “All-Solid-State Rocking Chair Lithium Battery on a Flexible Al Substrate,” Electrochem. Solid-State Lett., vol. 2, no. 9, p. 425, Sep. 1999. [170] B. Wang, J. B. Bates, F. X. Hart, B. C. Sales, R. A. Zuhr, and J. D. Robertson, “Characterization of Thin-Film Rechargeable Lithium Batteries with Lithium Cobalt Oxide Cathodes,” J. Electrochem. Soc., vol. 143, no. 10, p. 3203, Oct. 1996. [171] Y.-I. Jang, B. J. Neudecker, and N. J. Dudney, “Lithium Diffusion in LixCoO2 (0.45 < x < 0.7) Intercalation Cathodes,” Electrochem. Solid-State Lett., vol. 4, no. 6, p. A74, Jun. 2001. [172] R. G. Gordon, D. Hausmann, E. Kim, and J. Shepard, “A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches,” Chem. Vap. Depos., vol. 9, no. 2, pp. 73–78, Mar. 2003. [173] H. Zhang et al., “Electroplating lithium transition metal oxides,” Sci. Adv., vol. 3, no. 5, 2017. [174] J. Xiong, Q. Chen, M. A. Edwards, and H. S. White, “Ion Transport within 200 High Electric Fields in Nanogap Electrochemical Cells,” ACS Nano, vol. 9, no. 8, pp. 8520–8529, Aug. 2015. [175] D. Danilov and P. H. L. Notten, “Mathematical modelling of ionic transport in the electrolyte of Li-ion batteries,” Electrochim. Acta, vol. 53, no. 17, pp. 5569–5578, Jul. 2008. [176] J. Farcy, R. Messina, and J. Perichon, “Kinetic-Study of the Lithium Electroinsertion in V2O5 By Impedance Spectroscopy,” J. Electrochem. Soc., vol. 137, no. 5, pp. 1337–1341, 1990. [177] T. F. Fuller, M. Doyle, and J. Newman, “Relaxation Phenomena in Lithium- Ion-Insertion Cells,” J. Electrochem. Soc., vol. 141, no. 4, p. 982, Apr. 1994. [178] S. D. Fabre, D. Guy-Bouyssou, P. Bouillon, F. Le Cras, and C. Delacourt, “Charge∕Discharge Simulation of an All-Solid-State Thin-Film Battery Using a One-Dimensional Model,” J. Electrochem. Soc., vol. 159, no. 2, p. A104, Jan. 2012. [179] A. Ghosh et al., “High Pseudocapacitance from Ultrathin V2O5 Films Electrodeposited on Self-Standing Carbon-Nanofiber Paper,” Adv. Funct. Mater., vol. 21, no. 13, pp. 2541–2547, Jul. 2011. [180] H. Fujiwara, Spectroscopic Ellipsometry: Principles and Applications. 2007. [181] Y. Su, J. Zhang, S. Shokhovets, A. Polity, and B. K. Meyer, “Spectroscopic ellipsometry and optical transmission study of LiPON thin films prepared by RF sputtering,” Phys. status solidi, Oct. 2016. 201 List of Related Publications The following publications relate directly to the data presented in this thesis. Collaborative or precursor works to which the author made substantial contributions are also included below for completeness. Chapter III: Pearse, A. J., Gillette, E., Lee, S. B., & Rubloff, G. W. (2016). The reaction current distribution in battery electrode materials revealed by XPS-based state-of-charge mapping. Phys. Chem. Chem. Phys., 18(28), 19093–19102. https://doi.org/10.1039/C6CP03271K Chapter IV: Pearse, A. J., Schmitt, T., Fuller, E., El-Gabaly, F., Lin, C., Gerasopoulos, K., Kozen, A., Talin, A., Rubloff, G. W., Gregorczyk, K. E. (2017). Nanoscale Solid State Batteries Enabled By Thermal Atomic Layer Deposition of a Lithium Polyphosphazene Solid State Electrolyte. Chemistry of Materials, 29, 3740–3753. https://doi.org/10.1021/acs.chemmater.7b00805 Kozen, A. C., Pearse, A. J., Lin, C., Noked, M., & Rubloff, G. W. (2015). Atomic Layer Deposition of the Solid Electrolyte LiPON. Chemistry of Materials, 27(15), 5324–5331. https://doi.org/10.1021/acs.chemmater.5b01654 Kozen, A. C., Pearse, A. J., Lin, C.-F., Schroeder, M. A., Noked, M., Lee, S. B., & Rubloff, G. W. (2014). Atomic Layer Deposition and in Situ Characterization of Ultraclean Lithium Oxide and Lithium Hydroxide. The Journal of Physical Chemistry C, 118(48), 27749–27753. https://doi.org/10.1021/jp509298r Chapter V: Pearse, A. J., Schmitt, T., Sahadeo, E., Stewart, D., Kozen, A. C., Gerasopoulos, K., Talin, A., Lee, Sang Bok, Rubloff, G., Gregorczyk, K. E. (2017). Three- Dimensional Solid State Lithium-Ion Batteries Fabricated Via Conformal Vapor- Phase Chemistry. In review. arXiv Preprint available: https://arxiv.org/abs/1709.02918 Stewart, D., Pearse, A. J., Kim, N. S., Fuller, E. J., Talin, A., Gregorczyk, K. E., Lee, Sang Bok., Rubloff, G. W. (2017). Tin Oxynitride Anodes by Atomic Layer Deposition for Solid State Batteries. In Review.